From 2472082928690e8fd393d24384147aeb93bfc072 Mon Sep 17 00:00:00 2001 From: antoniovillena Date: Wed, 13 Jul 2016 02:09:08 +0200 Subject: [PATCH] Actualizo a test22 --- cores/Spectrum/bootloader_hex.txt | 3061 ++--- cores/Spectrum/coreid.v | 6 +- cores/Spectrum/keymaps/keyb_es_hex.txt | 16384 +++++++++++++++++++++++ cores/Spectrum/multiboot.v | 3 +- cores/Spectrum/ps2_keyb.v | 10 +- cores/Spectrum/scancode_to_speccy.v | 2 +- cores/Spectrum/scandoubler_ctrl.v | 5 + cores/Spectrum/zxuno.v | 9 +- firmware/bootloader.asm | 2 +- firmware/es.zx7b | Bin 423 -> 429 bytes firmware/scroll/fuente6x8.png | Bin 1295 -> 1300 bytes firmware/scroll/scroll.asm | 3 +- firmware/scroll/scrolldesc.asm | 2 +- firmware/us.zx7b | Bin 398 -> 408 bytes 14 files changed, 17978 insertions(+), 1509 deletions(-) create mode 100644 cores/Spectrum/keymaps/keyb_es_hex.txt diff --git a/cores/Spectrum/bootloader_hex.txt b/cores/Spectrum/bootloader_hex.txt index aa0c8c1..1d90842 100644 --- a/cores/Spectrum/bootloader_hex.txt +++ b/cores/Spectrum/bootloader_hex.txt @@ -261,8 +261,8 @@ C9 BF B6 21 -F0 -1E +39 +1F 01 00 80 @@ -333,1849 +333,1846 @@ E4 2B 8F C9 -56 -55 -45 -1D -57 -65 -0D -A2 -3C -CC -02 -7F -F9 -D7 -15 -F0 -6B -20 -2D -3C -AE -62 -B4 -A3 -4A -0B -45 -7C -12 -64 -76 -61 -C9 -53 -79 -36 -6C -81 -6A -A5 -E5 -08 -79 -72 -7F -8D -8C -0D -A7 -AB -21 -61 -3D -B9 -B8 -D0 -32 -B3 -16 -F1 -EF -FF -6C -54 -D2 -36 -33 -09 -8C -1E -EB -49 -EC -95 -C3 -09 -2B -B2 -69 -0A -A1 -62 -15 -92 -B9 -C3 -37 -30 -68 -2C -9A -74 -6F -11 -2E -49 -62 -32 -FC -1D -BB -E5 -35 -8F -86 -AB -95 -1D -77 -26 -DA -6F -4C -E6 -30 -39 -3F -1E -61 -D3 -2E -86 -2E -53 -72 -3F -50 -82 -EC -24 -F8 -79 -26 -6C -66 -2F -1C -4C -BD -D1 -62 -D8 -B9 -2C -E7 -3A -74 -68 -3C -0F -C2 -4B -30 -98 -12 -D6 -6F -67 -26 -BF -49 -6E -68 -3C -0D -34 -E3 -77 -E5 -70 -E0 -61 -08 -64 -5F -79 -52 -43 -4D -16 -30 -0E -98 -7F -A1 -6E -52 -CA -C5 -7B -11 -52 -F7 -D7 -9D -37 -7C -FA -7D -27 -DD -6E -AC -BA -69 -D8 -E1 -15 -6B -2B -8B -6F -36 -8F -14 -1C -EF -75 -D4 -26 -C1 -75 -B1 -63 -E4 -33 -06 -1C -B9 -53 -47 -3F -FE -5F -7D -7C -AF -CF -FF -41 -5C -0B -B1 -47 -27 -41 -75 -26 -ED -F0 -93 -64 -84 -8E -42 -43 -48 -09 -48 -ED -63 -1C -DE -70 -73 -0B -03 -E4 -3E -6B -47 -64 -A3 -0F -BD -65 -4E -EE -CE -6C -66 -33 -74 -E5 -42 -14 -DD -1C -79 -FB -10 -6F -12 -38 -DD -2E -B8 -5C -0A -AE -0C -BD -D8 -93 -84 -38 -7C -5F -95 -98 -91 -1A -1B -4E -82 -7E -70 -03 -81 -1D -6E -FB -E7 -D2 -F3 -DE -16 -68 -60 -29 -A6 -79 -6B -AF -90 -94 -F3 -B3 -26 -D1 -FC -84 -43 -6B -21 -B7 -2E -F5 -72 -32 -6D -6D -11 -96 -64 -08 -15 -24 -74 -27 -FE -5F -3E -DB -19 C0 -C4 -C7 -EE -91 -F4 -B0 -B1 -7A -BF -E4 -F7 -6C -63 -70 -6C -08 -64 -D9 -D3 -39 -39 -17 -25 -70 -F8 -61 -D2 -AD -69 -6D -3C -CE -1A -B5 -35 -0C -7E -C9 -95 -5E -45 -19 -BD -43 -A0 -CA -88 -A5 -D4 -6B -79 -74 -7D -F9 -DB -15 -33 -D1 -69 -96 -63 -A3 -C5 -09 -F5 -CF -77 -CF -16 -0E -1F -F5 -71 -A5 -6B -F7 -99 -8F -73 -B3 -B7 -C9 -0B -6F -76 -04 -73 -4B -D3 -A4 -E4 -DB -D9 -CA -C8 -92 -CD -0F -F0 -A0 -A2 -1E -D2 -72 -B9 -64 -75 -F1 -D8 -9B -E2 -7B -5C -A4 -C0 -9B -BC -18 -D4 -53 -0E -65 -63 -2E -02 -EE -CB -A4 -BB -73 -09 -C6 -CF -78 -BB -08 -1E -9F -15 -1E -B3 -14 -77 -86 -9D -DC -EB -B3 -19 -93 -48 -36 -38 -0D -9E -6D -34 -F8 -4B -80 -08 -63 -9A -C2 -BE -90 -6F -0C -2D -76 -AD -48 -C2 -1B -79 -45 -72 -77 -06 -6D -F1 -9F -18 -F0 -EB -9A -7D -45 -73 -49 AA -AF -8D -0C -E1 +45 +1D +AA +57 +65 +A2 +81 +CC +27 +02 +7F +1F +D7 +15 +6B +20 +2D +9E +AE +62 +67 +B4 94 -DA +4A +0B +45 +12 +2F +64 +76 +61 +53 +79 +36 +99 +81 +AD +6A +34 +E5 +08 +72 +7F +AF +8C +0D +F1 +AB +B4 +21 +61 +07 +B9 +D0 +57 +B3 +C6 +F1 +E2 +EF +9F +6C +D2 +2A +36 +33 +8C +C1 +EB +23 +EC +69 +95 09 +78 +B2 +25 +69 +0A +B4 +62 +92 +62 +B9 +37 +30 +68 +98 +9A +E5 +74 +11 +CD +49 +45 +62 +FC +66 +1D +B7 +E5 +8F +C6 +B0 +AB +1D +D2 +77 +84 +DA +6F +E9 +E6 +30 +39 +1E +27 +D3 +CC +86 +C5 +53 +45 +72 +3F +50 +EC +90 +F8 +84 +79 +26 +66 +2F +AD +1C +4C +17 +D1 +62 +B9 +9B +E7 +85 +3A +74 +68 +0F +67 +C2 +09 +30 +12 +F3 +D6 +67 +CD +BF +84 +49 +6E +68 +0D +67 +34 +77 +1C +E5 +70 +61 +FC +08 +64 +79 +52 +43 +0B +4D +16 +06 +0E +7F +D3 +A1 +52 +AD +CA +7B +11 +58 +F7 +EA +9A +9D +37 +AF +FA +7D +27 +6E +5B +AC +69 +37 +D8 +15 +7C +6B +8B +C5 +6F +8F +14 +E6 +1C +75 +DD +D4 +A4 +C1 +6E +B1 +6C +E4 +86 +06 +B9 +C3 +53 +47 +3F +9F +5F +7D +EF +AF +99 +FF +41 +0B +EB +B1 +27 +C8 +41 +75 +04 +ED +93 +9E +84 +CC +42 +43 +48 +11 +09 +C9 +ED +63 +1C +70 +73 +0B +9B +03 +3E +6B +47 +9C +A3 +AC +0F +65 +4E +D7 +EE +B9 +6C +66 +33 +74 +9C +42 +DD +22 +1C +FB +EF +10 +0D +12 +DD +C7 +B8 +C5 +5C +0A +B5 +0C +D8 +77 +84 +F2 +38 +7C +0B +95 +53 +91 +1B +C3 +82 +7E +69 +70 +81 +60 +1D +6E +5F +E7 +DA +F3 +16 +3B +68 +60 +65 +A6 +79 +0D +AF +72 +94 +B3 +DE +D1 +84 +84 +3F +43 +6B +A4 +B7 +2E +72 +32 +DE +6D +6D +11 +B2 +64 +08 +24 +C2 +74 +27 +5F +DF +DB +27 +C0 +E3 +C4 +38 +EE +12 +F4 +56 +B1 +BF +8F +F7 +9C +6C +63 +70 +08 +64 +6D +D9 +BA +39 +39 +17 +04 +F8 +4E +61 +AD +9A +69 +6D +47 +CE +B5 +C3 +35 +0C +AF +C9 +5E +45 +B2 +19 +43 +57 +A0 +B9 +88 +74 +D4 +79 +74 +2D +7D +DB +7F +15 +26 +D1 +6D +96 +AC +A3 +B8 +09 +FE +CF +77 +16 +F9 +0E +23 +F5 +6E +A5 +2D +F7 +8F +73 +B3 +EE +C9 +D6 +0B +6F +04 +73 +6E +4B +A4 +7A +E4 +5B +D9 +59 +C8 +12 +CD +0F +1E +A2 +D4 +D2 +23 +72 +64 +75 +17 +F1 +5B +9B +7B +5C +1C +A4 +98 +9B +18 +77 +D4 +0E +CA +65 +63 +02 +65 +EE +79 +A4 +73 +09 +D7 +18 +CF +BB +CF +08 +9F +C3 +15 +B3 +E3 +14 +AE +86 +73 +DC +3D +B3 +93 +C3 +48 +36 +38 +0D +93 +6D +F8 +66 +80 +69 +08 +4C +9A +18 +BE +6F +0C +D2 +2D +0E +AD +29 +C2 +1B +45 +72 +2F +77 +06 +6D +1E +9F +18 +5E +EB +7D +33 +45 +73 +AA +E9 +8D +35 +0C +5C +94 +09 +3B 22 36 34 -39 65 -09 +27 DF 04 +E1 66 -4F AD +C9 C3 -86 0B +F0 0F -C7 +B8 F2 -65 1B +EC 3D -F7 +1E 89 -18 82 +C3 3F -56 B9 -AC +8A +15 B0 -90 6C 1E -C6 +D2 +38 F7 -C9 +19 CE D8 -D8 +5B 6D 42 -D2 13 0D +FA 7C -2F +25 E7 -F9 CC +DF E3 -EE +FD 86 -2F 64 -57 +E5 9E -32 +4A 8E -73 +66 B8 -36 +CE B3 +E6 7F 7A 7B 09 -E7 -8F -EC -87 -95 9C -2D +8F +BD +87 +B2 +9C 66 6C +25 C7 -B1 +F6 DD 0F -EF -D1 +3D A5 +DA 73 -16 B7 -1C +82 9B 11 -2F +E3 +45 9B -62 +4C 1D -9A +13 44 7D -20 65 +64 A1 -CB 07 +D9 +17 17 -BE 7D -B8 4E +57 78 67 -7A +EF D6 -67 +2C 97 -E1 +3C C1 -99 B6 -D6 +D3 A7 +5A 08 -D2 46 7B +BA 64 -2D EE +65 67 -43 1C 50 -1F +E8 D9 12 +E3 55 69 -2F 0D +65 63 -6B B8 -12 +4D C2 +E2 06 -C7 +98 87 -9C +B3 0C 61 -4D 7A 70 +09 89 -E8 +5D 92 -CA 18 +79 6C 6F 76 -3B +67 D6 -3B D5 -FC +87 B3 19 +FF 09 -BF +D7 FB -3E 84 +C7 64 -66 +4C C1 -F2 +5E B5 04 -F2 3B +7E D4 -C3 -48 -83 +18 83 +69 2A +70 0F -9B +33 9C -39 +47 E3 -CA -EA +59 98 +3D 48 -29 8F +C5 2A -1E C2 +C3 47 6C -C6 8E +58 0D -32 48 +26 A1 -71 +4E E4 -5A +CB 89 -76 +CE ED -DE 68 +5B 79 7C 64 -72 +CE B8 -66 69 +4C 33 67 5A -5A +6B 81 -CB +F9 CC -BF 49 +97 A0 6B -64 +2C CA -91 1A +72 F8 -73 +CE A8 -4E +09 49 4D 44 3F -08 09 +61 EE -CB 0E +39 72 -09 90 -73 +61 D0 +CE 3B 53 -5E C5 +CB 11 -E6 49 76 +9C 85 -CC +39 A9 -11 +C2 A7 -E6 74 78 +9C C0 -54 09 +CA 3B -E6 +7C BB -93 +12 EA 14 -78 67 +4F 48 -9A E3 +D3 9C -86 +B0 47 -15 B9 -29 +22 B9 +65 FC -F3 08 +DE 07 -3E E7 -6C +87 AD +6D 6A 6D 67 -8B +F1 9E -CF +19 E3 -08 4D 56 +21 04 53 -49 4A 0E -56 +C9 +4A 8E 1E -DA 75 0F -AD +BB +15 B4 -B0 69 7E +D6 28 -0E +81 9E -D4 +BA 69 27 19 -75 -7A +4E C3 +6F 6B -3B 94 -85 +A7 6C +10 C7 6B 68 -68 08 +CD 13 -1E +83 A1 -DC +3B E0 6F -E1 +9C A6 -B4 +96 AB -84 +B0 61 4C -75 D6 -1C +8E C0 +23 35 -69 6B +4D 9A -9A +73 C5 73 -7B 05 +6F 43 0D -FB 66 +5F 66 -32 D2 -9B +66 A3 +33 74 08 -69 +AD 4D 17 -B5 73 7F 49 -24 +96 8B -2C 84 +84 +65 B7 -43 +48 C6 -42 +C8 8B -5E 6A +4B A2 -82 +B0 FD -9D +B3 6F 0B -15 65 +42 96 -8A +B1 E9 -B5 +96 14 -5C +2B BA -E1 +3C AE -79 D2 +EF 5B 35 -7F +4F 9F 13 -CA +B9 4D 03 -D5 +BA D8 -95 +52 FD -B2 0C +D6 5B 69 20 -3E +E7 C7 -B7 73 26 +56 13 -D2 +BA 44 -5D +AB C7 -4D +09 9C 43 -78 +8F A3 -84 -74 +90 A5 +4E 7A -52 CA +8A 1B -1C -5A +43 A6 +6B 5C 61 -0B 8A -8D +A1 CC +31 75 5C -F9 14 +3F 7C 20 -21 +44 F3 -92 43 +52 A2 -B2 +96 D1 -D4 +9A 0D -4C 4D 7B +29 72 -81 84 +70 0E -63 65 +4C DF -C2 +B8 CF -85 +B0 D4 -75 +6E DF -2B 73 3C -AD -41 -A0 -78 -34 +A5 +B5 +AE +4C +57 3F -5C 96 +22 6D -24 CA +49 12 -C9 +AE 43 -D5 F5 2D +EE 7B -FD 91 -B0 +87 C3 +2D 10 -65 46 -8A +53 F4 +94 54 28 D2 -D2 -90 +86 1B -29 +4C DF -84 +21 46 +44 A8 -28 +89 58 -D1 C0 4C +66 0D -6C 40 +E3 6A -7C DE +6B 61 -4D 07 -63 +1A E4 0B -3C +E3 7A -0E +71 93 5B 0D -BF +F8 CD -91 +8D CA -B9 +CC 59 +55 BC 0F -EA -71 +8F E6 +33 C9 -86 +2C 9C -85 +5C AB 0D -EB -27 -C0 -65 -98 -C9 -0D -4B -C3 -FC -4F -91 -D2 -15 07 +27 +65 +4E +98 +0D +5E +C3 +7A +FC +72 +F5 +73 +80 4A 75 -39 +17 92 7C -F2 04 -09 +F9 89 +84 0B 6C 16 -AF +57 61 -A4 81 +D2 0B -BB 58 +DD 36 37 3E 4E 4C 0D -83 -DD -84 -05 -5C +41 DD +C2 +04 +93 +73 54 64 09 -FF +FE 4B 67 CB -D1 +E8 98 -65 +B2 06 -37 +1B C9 45 -DE +6F A5 -38 +1C AF 91 -8E +C7 1C 13 -5F +2F C5 1E -9E +4F E9 E2 -A6 +D3 11 -CD +66 89 66 -F8 +FC A2 22 -CB +E5 77 44 56 5A -25 1C +92 41 6C -29 +94 B5 -35 +1A 47 72 0F -B2 -BD -A5 -E9 -25 -AE -09 +43 +49 +65 +D7 +53 +9F +6E 12 -7C +A6 06 -3A DD +D3 17 -ED +0E E1 6B 18 -B0 +5B 49 3F -15 FC +81 6D 3B -F8 27 -7C +CF E8 +27 6E -D2 90 +CD 79 0A -6C +46 99 -94 +49 0A -74 AE -FC +C7 DA -72 +2F D1 +67 09 -76 ED +87 0A -38 +33 F0 -23 4C 75 63 -31 +12 A4 +93 61 62 -C9 E9 -ED +DC F3 +4E 7D 05 -A4 +1A EB -21 6A 0D -05 +52 48 18 +A0 52 61 -FA 0D -47 +7F AF -48 +84 F2 +C4 14 -DC +1D 4E -41 2C 0B +F4 6A -2F -D9 -8F -BE +EB +32 +65 0D 1E +BD 6E -5F 6B 27 -B9 +72 44 20 +07 BA -83 B7 -ED +DB B1 -9B +37 AD 29 +9D 61 -4E D2 -76 +EC B6 08 -1D +3A 63 +14 85 -8A 57 0E 61 -25 +4B B9 24 +F0 62 -F8 61 3C 5F -3D +7B FD -2E +5C 6D 2D -BA +74 CE 78 -86 +0D EB 74 -AB +57 FC -12 +25 BA 16 -36 +6C 0C 68 -17 +2E E2 -7C +F8 85 36 -B9 +72 63 5A 73 6E 6B 0D -46 +8D 5B +40 6E -20 67 07 10 -0E +1C 47 3F 2F +C8 72 -64 BF -F1 +E2 D4 69 -AD +5B F1 +93 21 -C9 FC -27 +4F 87 -6D +DA 6D A7 -D1 +A2 A2 30 +6D 31 -36 05 6D 60 68 06 -5B +B6 55 4F 0D -FE +FC E6 -C2 +85 C1 1A -F2 +E5 73 1E 21 -5E +BD C4 -19 +32 B2 4E 65 +2C 77 -16 73 45 2B -CE +9C B6 -58 +B1 E7 +2C F2 -96 14 +99 7D -CC CB +C7 7C -E3 4E -15 +2B 82 9C -C3 +86 3E 4B 3F 0D +C5 6E -62 75 05 +1C 8E -8E +09 CA -84 69 +1F C4 -8F 4F -64 +C9 91 08 6D 5F +DE 10 -EF -42 -72 -15 +2B +87 +0E DC -D8 +1B 84 44 19 -D8 +5B 48 -2A 87 +45 7B -12 CA -3C +82 8F +27 47 -A1 +34 D8 17 3A -F9 +9F 5D 73 -24 9E +C4 48 75 -4E 69 7A 0A -84 +89 16 +70 A7 -CB 75 16 -16 +D9 EF +42 75 -D2 88 +9A 33 0C -6C 63 1A +AD 4D -2F -21 -ED +C3 +5D 2E -37 +86 F8 +71 F2 -8E +AC FE -95 70 0D -5F +FC 90 -39 +CA EA +B1 13 -D6 -15 +AE F1 -4A -52 -DA 90 +4A +DA +82 1C 50 +4C 1C -69 20 27 53 -41 +0B CB 13 6C -8F +7A E2 6D 7C +B4 27 20 -36 45 64 +61 E2 -4C 64 73 0D 92 54 4B -A7 +3C 81 16 -3C +E5 92 0E +E1 70 -3C DD -C9 +49 D9 +CE 6D -19 BD 61 +60 68 1E -CC DC -35 +AE 0A -98 +C1 59 -0E +74 88 0C +78 66 61 -2F CF +A1 69 1D -B4 33 08 +FD 4F -DF 2A 7E -DF +FE D8 +CE 6C -79 A0 +D3 7A -3A 2B +B9 32 -D7 +2E BA 2F -E5 1E +9F 50 -53 AF +92 66 -72 +13 A2 -C2 +56 8E -CA 3E 46 6C +86 CC -90 -CD +8C +B3 44 -6F 4E +3B F1 -C7 14 -A9 +4E E3 42 -FC +E5 A0 -64 +27 86 -0C +63 AB +78 CA -4F 0B -A6 +32 57 75 6B 73 +35 0A -26 16 72 -37 +B9 9F +19 81 -43 06 +72 43 -2E -B6 +FE +D1 0A -3C +09 ED -21 6F +69 A3 -CD 1E 07 -87 +3E 63 66 67 17 -58 +C4 46 -65 -57 -93 -67 -6C BA +65 +6C +CC +D0 +BA +93 4F 72 4A -92 75 12 -2D +6C B4 72 +59 6A -2B 48 3A 6A +E9 71 6A -BD +85 52 -10 DC 7C +60 54 47 -6C 75 31 7C 1D +B3 59 -F6 08 64 +5F 31 39 34 -0B 32 1C +B0 6C 76 -96 C4 -39 +CC F4 +91 50 1E -D2 75 67 06 72 +66 0F 4D -EC +2F ED -25 51 +99 10 -33 4A 1B -3F +F9 9C 1F +F1 6D -5E 42 -4B -E3 -A4 -92 -23 1A -E1 +4B +A4 +97 +23 +0C +1A 73 +37 90 -66 A1 -49 +4B C3 +C2 3B -D8 +2E 85 72 -65 7D 67 75 17 +C3 44 -38 09 62 51 +B9 06 -F7 15 -81 +0F 4C 7E 54 17 +E4 43 -3C B2 +49 05 -C9 65 1A +FE 68 67 -7F -AB -32 -D3 +B9 +9B +53 7B 1D -EC +E4 FB 7A 58 @@ -2300,160 +2297,158 @@ CB 44 31 62 -79 -0C 99 +B0 54 +A4 C0 -45 70 +48 AE -8A +91 75 35 -B4 75 64 +D6 56 -A6 -BB +A1 04 +F6 55 04 08 -EF 75 43 +BD 70 5E 2E -ED 17 +FD 61 67 42 -67 41 76 +2C 58 -19 D5 +C3 19 6F 66 74 -1E 77 +03 BA -60 07 +EC 65 -17 9F 74 +62 3D 0E -DB -51 -87 +3B +ED +EA 69 -47 -9A +80 6E +6A 25 63 -5B +0B B6 -30 94 +86 33 24 -FC 7D 46 +DF 09 -76 3C +EE 23 20 3E 20 -1F 52 6F 62 +03 65 3D 49 -00 91 +80 41 -9C 3B 64 +73 72 -1B EB +C3 0E -56 -69 -1E +95 +C7 B5 -18 +30 B0 1E +9C 6F -CE 11 09 +BF 62 -5F 38 62 -94 -C0 -73 -10 +EB +80 +48 77 65 69 72 +05 64 -06 6F 46 67 +9C 63 -4E 74 40 0E 7D +FC 5C -FE 20 57 6F 62 53 -F1 +E3 6E 98 -20 +41 59 87 -C1 +82 62 3F 13 6F 6C +3D 74 -1E 7B 6E -87 -E4 +F9 +80 5F 73 7A @@ -2461,250 +2456,325 @@ E4 72 6D 7C +01 6E -00 79 69 04 5A 22 +D8 1D -EC 19 54 68 0B +CF 5A -67 58 3E 55 4E 4F -20 -63 -6F 00 +95 +6F 08 20 -74 -65 +6C +88 61 6D +30 20 -03 2F 5C 43 08 +DE 53 -6F 40 -65 -72 +7A 66 6F -0F +00 +1E +1F +8D C3 -57 -74 -2F -2C 76 -7B -69 -6C -6C -65 -6E -54 -60 +08 +8E +02 63 4C 65 -6F -64 -06 -C1 -51 -75 -65 -2E +73 06 +F1 +B5 +90 48 -78 61 72 6B 30 21 +82 1D -F0 57 06 68 20 +37 25 -64 -66 +4F 69 -74 -69 -6F -6E +5D +A7 29 20 -60 73 75 70 70 +07 6F 72 74 20 -00 66 -72 -6F +73 6D -70 -AC +60 +92 09 +F8 44 69 0B -CF 57 61 76 65 +0C 09 52 61 -30 64 -13 -74 +1C +23 61 6E 08 -C6 6A -DE +59 +C4 61 -68 6C 7A -61 -09 -4D +39 +8D 65 6A -18 69 -61 -73 +08 +C5 33 -44 -01 +17 +13 +45 +72 +61 +5D +14 20 +65 +67 +1E +67 +20 +63 +72 +65 +64 +69 +74 +00 +73 +00 +44 +17 +78 +9D +64 +1C +17 +F6 +41 +3A +37 +69 +6F +20 +1E +56 +69 +6C +6C +65 +6E +61 +10 +80 +4D +75 +73 +1A +15 +F1 +59 +65 +72 +7A +40 +E1 +65 +17 +42 +4B +61 +0B +67 +72 +6F +75 +6E +06 +64 +11 +4E +69 +63 +6B +86 +F3 +72 +75 +74 +1B +79 +0C +46 +6F +6E +74 +3A +06 +20 +51 +75 +65 +73 +74 +01 +40 +A0 48 -50 00 C6 +C8 22 -64 6E 97 C3 77 6E F3 -40 +80 89 AB 2C +D8 EE -6C 90 -11 +22 1A 13 -02 +04 ED AD 06 +96 A3 -4B 8A -31 +62 D6 -CA +94 D5 FB -20 +41 A7 09 1B -34 +68 ED ED 6D +30 EB -18 22 A9 05 B4 29 +D8 AE -6C FB B5 +66 6D -33 11 B6 6D +50 F1 -A8 91 +DB ED -6D B0 E1 AB -48 +90 AF +04 CF -82 F4 -21 +43 BE 02 C8 -0D +1A F7 D2 6D -A6 +4C D8 +0D E9 -86 23 22 BC 02 -C4 +89 C6 6D 22 D0 -00 +01 BE C3 -D3 +A6 FB C9 77 @@ -2712,8 +2782,8 @@ F1 8F F1 13 +01 F2 -00 5E EE 06 @@ -2721,53 +2791,53 @@ EE 0C 45 E7 -44 00 +44 F3 CF F2 09 -58 +B0 90 F1 +28 FF -14 C5 -9D +3A BE C8 09 +15 C3 -0A 6C 97 -53 +A6 23 EB 01 43 EE +04 09 -02 EB C9 86 -D0 +A0 5F 87 83 5F DD -60 +C1 F6 CB 7F -16 +2C 92 C2 06 +34 6E -1A 4A CB 77 @@ -2775,39 +2845,39 @@ CB 02 C2 0E -6E 00 +6E 42 E9 +C4 67 -62 ED 02 -B1 +62 DE +91 F5 -48 E6 F0 00 0F +48 57 -24 F1 E6 0F +20 8F -90 A0 +CF 6E -67 C8 CB E2 4B 0D +F0 4F -78 21 AD 6D @@ -2815,12 +2885,12 @@ BE DA 38 6E -AF 00 +AF BE 32 +62 D3 -31 6D 69 26 @@ -2828,103 +2898,103 @@ D3 29 ED 5B -A3 00 +A3 6D 19 4E +10 81 -88 32 77 +23 B6 -91 2A A7 6D 01 -81 +03 ED +0F BC -87 06 -0D +1B AE 06 -8D +1A 4A E8 6D ED +13 53 -09 56 B7 -C7 +8E 35 02 F0 +01 F7 -80 FF 7B C9 +4B 3A -25 03 3D 08 +96 C2 -4B 3D 3A AB 6D 32 AC -83 +06 D1 +B1 1A -D8 A2 07 -D0 +A1 81 -C6 +8D CC 2B 12 05 12 -FC +F9 AE 12 +95 C1 -4A 12 B5 +42 6E -21 2A 05 12 +BC B0 -5E 6D F3 -22 +44 6C 6E F2 0B -C4 +88 2A B2 4C 33 22 +79 B2 -3C 6D C3 C1 @@ -2936,132 +3006,132 @@ DA F9 6E FE +06 70 -03 DA 06 07 80 DA 27 +18 6F -0C CA 1E 04 81 CA 04 +18 04 -8C 82 CA 24 6F FE 8F +03 DA -01 43 6F D6 A1 02 02 +60 06 -B0 FF 24 75 -ED +DB 01 03 +4D A3 -A6 1E 20 23 +27 C9 -13 D6 60 20 63 20 +D8 A9 -6C 20 6B -E9 +D2 A8 24 -7C +F9 23 1A -68 +D0 BF C9 AF +3C 18 -1E 02 D6 70 E5 29 -B0 +60 13 -9A +35 B5 23 +65 24 -B2 D6 80 75 -24 +49 4C 23 32 DF 6D +0E 64 -87 01 E5 AF 01 +09 21 -04 D6 A5 +64 6A -B2 E2 6D 23 DD 75 -41 +83 89 74 06 E1 +18 C3 -0C CB 6E 3B -84 +08 3D 3D 28 F5 +49 30 -A4 00 17 -A5 +4B F1 C0 DD @@ -3069,12 +3139,12 @@ DD 03 DD 56 +01 04 -00 21 60 E8 -C0 +80 7E 3D FA @@ -3082,20 +3152,20 @@ FA 6F 4F 3C +01 E6 -00 1F 05 +2C 9E -96 7E 3C DD 77 07 C9 +03 79 -01 B7 C0 7C @@ -3103,20 +3173,20 @@ C0 DA 6D C9 +00 38 -80 C8 33 FE +6B B7 -35 C8 06 CA BA 40 +CC 36 -66 FE 02 C3 @@ -3124,68 +3194,68 @@ BE 6F AF 32 -E1 00 +E1 86 E6 +60 C9 -30 17 32 -B9 +72 39 BA +47 39 -A3 79 B0 0F 3B -45 +8B 1A B7 6D 1D -4E +9C ED 02 +D0 30 -E8 34 D4 34 -D7 +AF DC 34 +A5 23 -D2 34 +AF 22 -57 34 C4 +52 34 -A9 B1 B0 +29 38 -94 C1 38 -55 +AB D6 38 +D0 DD -68 38 27 -3B +76 68 6F 41 07 +64 3C -32 CA 5B 70 @@ -3193,32 +3263,32 @@ CA 32 6F 70 -DD 00 +DD 2A CE 0E F3 6D 3A +18 08 -8C CB 01 CB 00 B0 B1 +03 32 -01 DB 06 9C 6F DD 21 +0C CB -06 6D CD 65 @@ -3226,8 +3296,8 @@ CD 22 D8 6D -21 00 +21 DE 6D 77 @@ -3235,8 +3305,8 @@ CD A4 6F C3 -52 00 +52 71 7D F5 @@ -3244,8 +3314,8 @@ D5 DD 6E 05 -DD 00 +DD 66 06 11 @@ -3257,21 +3327,21 @@ DD 01 86 C6 +06 00 -03 87 5F 16 +00 B9 -80 92 70 19 5E 23 56 +03 EB -01 D1 F1 CB @@ -3279,8 +3349,8 @@ CB 28 04 CB -A2 00 +A2 19 C9 A7 @@ -3288,8 +3358,8 @@ ED 52 C9 F8 -0E 00 +0E 10 0E 60 @@ -3297,8 +3367,8 @@ F8 80 0C D8 -0B 00 +0B 28 0B 88 @@ -3306,8 +3376,8 @@ D8 F0 09 60 -09 00 +09 E0 08 58 @@ -3315,21 +3385,21 @@ E0 E0 07 7C -07 00 +07 08 07 B0 +40 9A -A0 06 EC 05 94 05 44 +03 05 -01 F8 04 B0 @@ -3337,8 +3407,8 @@ B0 70 04 2C -04 00 +04 F0 03 BE @@ -3346,8 +3416,8 @@ BE 84 03 58 -03 00 +03 20 03 F6 @@ -3355,8 +3425,8 @@ F6 CA 02 A2 -02 00 +02 7C 02 58 @@ -3364,8 +3434,8 @@ A2 38 02 16 -02 00 +02 F8 01 DF @@ -3373,8 +3443,8 @@ DF C2 01 AC -01 00 +01 90 01 7B @@ -3382,8 +3452,8 @@ AC 65 01 51 -01 00 +01 3E 01 2C @@ -3391,8 +3461,8 @@ AC 1C 01 0B -01 00 +01 FC 00 EF @@ -3451,382 +3521,382 @@ A8 00 3B 00 -F0 +E0 CD 71 32 00 2F +1F 00 -0F 2C 00 2A 69 00 25 +30 00 -18 23 1B 00 1F 00 1D +0C 00 -06 1C 00 1A 00 19 -80 +00 FA 00 16 00 15 +0D 00 -06 13 00 12 00 11 +C0 +E8 +0F 00 -10 -80 -CD -00 +27 21 E1 -1B 6D AF B6 3E 0D 20 +00 05 D6 -00 03 00 2B 0E FD +18 06 FF -06 ED 79 06 BF ED AB +00 3D F2 -00 62 71 C9 08 96 03 +00 AB 03 -00 50 04 53 4F 4E 47 +00 20 42 -00 59 20 53 54 20 43 +00 4F 4D -00 50 49 4C 45 F5 07 +00 01 0D -00 2A 91 +90 3A -64 80 1C 29 00 1B 2E +01 80 29 -00 35 00 27 2A 80 -80 +00 E6 00 41 23 -47 +1E A8 -24 -82 +91 +08 03 A6 -64 +92 05 -34 +D1 DD -8B +2C 02 -89 +26 +82 62 -E0 04 2E 02 +CB 4D 02 -B2 6D 02 8C 02 +B6 AB -6D 02 CA 02 E9 -5B +6D A5 E8 A0 +31 97 E6 -0C A0 BA E3 A0 D8 02 -60 +80 EE -65 +95 55 05 02 -8D -8A +35 +2A C0 00 33 02 -7E +FA 0D 02 -B4 +D1 0C 02 -4A +2A 0B 02 -B4 +D1 4D 02 +BE 3A -EF 40 50 02 +7B 50 -DE 02 56 +DF 40 -77 59 02 -AF +BD 04 02 +5A 03 -56 02 -89 +25 02 02 +0A 01 -42 40 8B -64 +91 02 0C +4D 02 -D3 A2 -B1 +C7 52 02 -BE +FA 50 02 +9E 0E -E7 08 02 -02 +0B A4 -21 +84 02 +0C 06 -43 A0 02 -52 +49 FE -61 -15 +85 +55 89 -16 +58 F8 -46 +18 00 +05 CC -C1 02 -8B +2F +8A 71 -E2 17 05 0D 6B -22 +8B 6E -75 +D4 74 -53 +4D 62 -71 -0E +C5 55 +0E 02 0B 02 -E9 +A5 90 58 +E7 02 -F9 08 02 07 02 +B7 06 -6D 02 5A +ED 02 -FB 04 02 -6A +AB 43 02 +7D 39 -DF 02 31 +DF 02 -F7 -41 +07 80 16 -94 +51 09 01 32 01 +FA 4F -FE 4D -7C +F3 A2 01 -37 +DD 05 00 -AD +B4 45 +EA 01 02 -BA -50 +42 05 04 02 02 -27 +9D +50 07 -54 00 03 03 F4 -70 -B3 -A9 +00 +C1 +A8 +00 0F 01 02 -7A +BC 03 00 -57 01 +AB 02 -EB 01 +F5 00 0C -21 01 +10 06 2E 05 02 -16 06 +8B 0F 05 89 05 03 74 -03 04 +01 DA 05 F3 @@ -3834,8 +3904,8 @@ F3 04 4A 06 -00 E5 +00 06 00 07 @@ -3843,326 +3913,326 @@ E5 24 07 AB -00 07 +00 C6 07 FF -30 C7 -AB +98 +55 4F 25 -BE +5F AF -92 -AA +49 +55 96 10 -EC -A2 +76 A2 +D1 8C -49 +A4 14 -53 +29 80 25 -78 +3C D9 -94 +CA A2 52 10 -65 -60 +32 +30 E3 -8C 60 +46 04 D2 -44 +22 0A -7A +3D E3 -8C 39 +46 0D 89 -C8 -08 +64 CA +84 A9 0D -AB -55 +D5 +2A D8 -16 +0B C4 -12 +89 A7 66 70 27 76 -21 +90 FE -63 +B1 12 -43 +A1 8A A7 -63 07 +B1 0A 18 -0B +85 09 18 -7D +BE 2D 11 80 10 -C5 03 +E2 3E 06 -FB 09 +FD A6 80 -0B +05 D3 -6C +36 CE 4A -EC 05 +F6 0B 80 07 80 -6F 69 +B7 41 0F -9F +4F 04 21 21 -38 A2 +1C 26 5D 1D -EC 21 +F6 28 29 A3 39 -63 +31 ED 25 17 -F8 +FC 5A 65 -77 +3B 3E -3C +1E AC 49 76 -7E +BF 28 5B 33 05 -7D +3E 06 2B 06 -2E +97 20 70 28 18 -67 +B3 B0 98 -45 +22 88 -46 +23 AA 07 -0A +85 A3 06 78 -E5 +72 F6 -C2 2D +61 8C 69 -62 7F +31 08 2B -56 03 +AB 2B A2 2D A1 2D 1E -83 +C1 2E 1D 04 -BD 6D +5E 30 A2 04 63 30 A4 -18 2E +0C A1 16 -04 +02 29 A1 04 -B2 6E +59 24 A2 B9 -90 +C8 6D 24 30 69 09 2B -61 A1 +30 0A 06 -D6 +EB 6E 2E 2E 2D 03 A1 -61 29 +30 A2 28 D9 -80 -05 +C0 +82 10 -75 +BA 67 -1D +8E 13 56 -4F +A7 BB 17 -E1 +F0 9A -85 +C2 8D -85 -10 +42 +08 C5 A9 -56 08 +AB 07 -63 +31 46 -B8 04 +DC B4 -C3 +61 C5 -10 +08 03 -B8 +5C 0B 03 -BE +5F C7 -B0 +58 B8 -30 +18 35 3C 39 FF 10 -42 +21 25 -E0 +70 1D 14 -6E +37 43 0A 25 -CA +65 48 09 A2 36 -88 +C4 10 -5D +AE 25 7B -47 00 +23 25 59 -52 05 +29 A1 14 -A4 8E +52 46 09 0A 64 -48 10 +A4 2C -E3 10 +F1 50 07 14 -CB +65 A3 07 3C 0C 2F -9A +CD 0C A2 -5D 8E +2E 2F 10 A1 @@ -4170,8 +4240,8 @@ A1 70 2F A2 -00 65 +00 8E 34 0E @@ -4179,16 +4249,16 @@ A2 70 0E 0E -00 A5 +00 63 0E A2 61 23 1A -C0 B0 +60 6A 71 2E @@ -4196,8 +4266,8 @@ B0 24 A3 72 -00 26 +00 A2 6D 26 @@ -4205,8 +4275,8 @@ A3 63 26 A1 -00 61 +00 70 23 23 @@ -4214,8 +4284,8 @@ A3 23 A2 23 -00 A1 +00 23 FF A2 @@ -4223,23 +4293,23 @@ A2 73 3A 0A -80 +40 3A 0A -94 +4A 34 35 0A -92 34 +49 A1 35 A2 03 30 34 -30 37 +18 39 A3 30 @@ -4247,8 +4317,8 @@ A1 34 A2 37 -00 A3 +00 32 A2 6E @@ -4256,49 +4326,52 @@ A2 6D 32 A9 -00 63 +00 32 FF 0F 7F 64 -78 2F +BC 30 -0B +05 BF 07 -D2 +E9 85 -21 +90 1F 3C -43 2F A1 +50 99 26 -EC 3D -89 +F6 40 +44 5F 04 -52 08 +29 8F -62 +31 FF -84 +C2 B7 -21 +90 CF -85 +C2 +02 +18 +10 00 -0D +0A 04 -75 +71 21 3D 8C @@ -7249,7 +7322,7 @@ DD 04 DD 21 -E2 +7C 00 5E 42 diff --git a/cores/Spectrum/coreid.v b/cores/Spectrum/coreid.v index b3810f6..c157fb2 100644 --- a/cores/Spectrum/coreid.v +++ b/cores/Spectrum/coreid.v @@ -37,10 +37,10 @@ module coreid ( text[i] = 8'h00; text[ 0] = "T"; text[ 1] = "2"; - text[ 2] = "1"; + text[ 2] = "2"; text[ 3] = "-"; - text[ 4] = "0"; - text[ 5] = "5"; + text[ 4] = "1"; + text[ 5] = "3"; text[ 6] = "0"; text[ 7] = "7"; text[ 8] = "2"; diff --git a/cores/Spectrum/keymaps/keyb_es_hex.txt b/cores/Spectrum/keymaps/keyb_es_hex.txt new file mode 100644 index 0000000..3929aab --- /dev/null +++ b/cores/Spectrum/keymaps/keyb_es_hex.txt @@ -0,0 +1,16384 @@ +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +61 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +82 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +E2 +00 +00 +E2 +24 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +80 +00 +00 +00 +20 +00 +00 +00 +00 +00 +01 +00 +40 +00 +41 +00 +00 +00 +61 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +02 +00 +00 +00 +22 +00 +00 +00 +21 +00 +00 +00 +42 +00 +00 +00 +62 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +08 +00 +00 +00 +04 +00 +00 +00 +24 +00 +00 +00 +44 +00 +00 +00 +68 +00 +00 +00 +64 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E1 +00 +00 +00 +10 +00 +00 +00 +28 +00 +00 +00 +50 +00 +00 +00 +48 +00 +00 +00 +70 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E8 +00 +00 +00 +F0 +00 +00 +00 +D0 +00 +00 +00 +30 +00 +00 +00 +B0 +00 +00 +00 +90 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E4 +00 +00 +00 +C8 +00 +00 +00 +A8 +00 +00 +00 +88 +00 +00 +00 +84 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +E8 +00 +00 +00 +C4 +00 +00 +00 +A4 +00 +00 +00 +A2 +00 +00 +00 +81 +00 +00 +00 +82 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +E4 +00 +00 +E2 +C8 +00 +00 +00 +C2 +00 +00 +E2 +21 +00 +00 +00 +A1 +00 +00 +E2 +88 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +28 +00 +00 +00 +00 +00 +00 +E2 +04 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +62 +00 +00 +00 +00 +00 +20 +00 +C1 +00 +00 +E2 +C4 +00 +00 +00 +00 +00 +00 +E2 +30 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +48 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +81 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +0C +00 +00 +00 +00 +00 +00 +00 +04 +00 +00 +00 +14 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +08 +00 +00 +00 +08 +00 +00 +00 +02 +00 +00 +00 +10 +00 +01 +E1 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +C4 +00 +00 +00 +00 +0A +00 +E2 +C8 +00 +00 +E2 +F0 +00 +00 +00 +00 +12 +00 +00 +00 +00 +01 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +80 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +00 +40 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +10 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +C1 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +70 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +90 +00 +00 +00 +00 +00 +00 +01 +84 +00 +00 +01 +88 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +61 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +E2 +00 +00 +E2 +24 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +80 +00 +00 +00 +20 +00 +00 +00 +00 +00 +01 +00 +40 +01 +41 +00 +00 +E2 +61 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +02 +00 +00 +01 +22 +00 +00 +01 +21 +00 +00 +01 +42 +00 +00 +E2 +A1 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +08 +00 +00 +01 +04 +00 +00 +01 +24 +00 +00 +01 +44 +00 +00 +E2 +68 +00 +00 +E2 +64 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E1 +00 +00 +01 +10 +00 +00 +01 +28 +00 +00 +01 +50 +00 +00 +01 +48 +00 +00 +E2 +70 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +E8 +00 +00 +01 +F0 +00 +00 +01 +D0 +00 +00 +01 +30 +00 +00 +01 +B0 +00 +00 +E2 +90 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +E4 +00 +00 +01 +C8 +00 +00 +01 +A8 +00 +00 +E2 +10 +00 +00 +E2 +84 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +A2 +00 +00 +01 +C4 +00 +00 +01 +A4 +00 +00 +01 +A2 +00 +00 +E2 +C2 +00 +00 +E2 +82 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +02 +00 +00 +E2 +81 +00 +00 +01 +C2 +00 +00 +00 +00 +00 +00 +01 +A1 +00 +00 +E2 +08 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +28 +00 +00 +00 +00 +00 +00 +E2 +D0 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +62 +00 +00 +00 +00 +00 +20 +00 +C1 +00 +00 +E2 +F0 +00 +00 +00 +00 +00 +00 +E2 +A1 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +50 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +0C +00 +00 +00 +00 +00 +00 +00 +04 +00 +00 +00 +14 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +08 +00 +00 +00 +08 +00 +00 +00 +02 +00 +00 +00 +10 +00 +01 +E1 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +C4 +00 +00 +00 +00 +0A +00 +E2 +C8 +00 +00 +E2 +F0 +00 +00 +00 +00 +12 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +80 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +00 +40 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +10 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +C1 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +70 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +90 +00 +00 +00 +00 +00 +00 +01 +84 +00 +00 +01 +88 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +61 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +E2 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +80 +00 +00 +00 +20 +00 +00 +00 +00 +00 +01 +00 +40 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E1 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +62 +00 +00 +00 +00 +00 +20 +00 +C1 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +41 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +0C +00 +00 +00 +00 +00 +00 +00 +04 +00 +00 +00 +14 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +08 +00 +00 +00 +08 +00 +00 +00 +02 +00 +00 +00 +10 +00 +01 +E1 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +C4 +00 +00 +00 +00 +0A +00 +E2 +C8 +00 +00 +E2 +F0 +00 +00 +00 +00 +12 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +80 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +00 +40 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +10 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +C1 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +70 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +90 +00 +00 +00 +00 +00 +00 +01 +84 +00 +00 +01 +88 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +61 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +E2 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +80 +00 +00 +00 +20 +00 +00 +00 +00 +00 +01 +00 +40 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E1 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +62 +00 +00 +00 +00 +00 +20 +00 +C1 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +44 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +0C +00 +00 +00 +00 +00 +00 +00 +04 +00 +00 +00 +14 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +08 +00 +00 +00 +08 +00 +00 +00 +02 +00 +00 +00 +10 +00 +01 +E1 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +C4 +00 +00 +00 +00 +0A +00 +E2 +C8 +00 +00 +E2 +F0 +00 +00 +00 +00 +12 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +80 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +00 +40 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +10 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +C1 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +70 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +90 +00 +00 +00 +00 +00 +00 +01 +84 +00 +00 +01 +88 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +61 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +E2 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +80 +00 +00 +00 +20 +00 +00 +00 +00 +00 +01 +00 +40 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E1 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +62 +00 +00 +00 +00 +00 +20 +00 +C1 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +42 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +0C +00 +00 +00 +00 +00 +00 +00 +04 +00 +00 +00 +14 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +08 +00 +00 +00 +08 +00 +00 +00 +02 +00 +00 +00 +10 +00 +01 +E1 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +C4 +00 +00 +00 +00 +0A +00 +E2 +C8 +00 +00 +E2 +F0 +00 +00 +00 +00 +12 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +80 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +00 +40 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +10 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +C1 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +70 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +90 +00 +00 +00 +00 +00 +00 +01 +84 +00 +00 +01 +88 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +61 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +E2 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +80 +00 +00 +00 +20 +00 +00 +00 +00 +00 +01 +00 +40 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E1 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +62 +00 +00 +00 +00 +00 +20 +00 +C1 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +42 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +0C +00 +00 +00 +00 +00 +00 +00 +04 +00 +00 +00 +14 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +08 +00 +00 +00 +08 +00 +00 +00 +02 +00 +00 +00 +10 +00 +01 +E1 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +C4 +00 +00 +00 +00 +0A +00 +E2 +C8 +00 +00 +E2 +F0 +00 +00 +00 +00 +12 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +80 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +00 +40 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +10 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +C1 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +70 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +90 +00 +00 +00 +00 +00 +00 +01 +84 +00 +00 +01 +88 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +20 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +61 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +E2 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +80 +00 +00 +00 +20 +00 +00 +00 +00 +00 +01 +00 +40 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E1 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +62 +00 +00 +00 +00 +00 +20 +00 +C1 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +42 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +80 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +0C +00 +00 +00 +00 +00 +00 +00 +04 +00 +00 +00 +14 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +40 +00 +00 +00 +08 +00 +00 +00 +08 +00 +00 +00 +02 +00 +00 +00 +10 +00 +01 +E1 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +C4 +00 +00 +00 +00 +0A +00 +E2 +C8 +00 +00 +E2 +F0 +00 +00 +00 +00 +12 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +80 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +00 +40 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +10 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +C1 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +70 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +40 +00 +01 +90 +00 +00 +00 +00 +00 +00 +01 +84 +00 +00 +01 +88 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +61 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +E2 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +80 +00 +00 +00 +20 +00 +00 +00 +00 +00 +01 +00 +40 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E1 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +62 +00 +00 +00 +00 +00 +20 +00 +C1 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +42 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +0C +00 +00 +00 +00 +00 +00 +00 +04 +00 +00 +00 +14 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +08 +00 +00 +00 +08 +00 +00 +00 +02 +00 +00 +00 +10 +00 +01 +E1 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +C4 +00 +00 +00 +00 +0A +00 +E2 +C8 +00 +00 +E2 +F0 +00 +00 +00 +00 +12 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +80 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +00 +40 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +E2 +10 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +C1 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +70 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +01 +90 +00 +00 +00 +00 +00 +00 +01 +84 +00 +00 +01 +88 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 diff --git a/cores/Spectrum/multiboot.v b/cores/Spectrum/multiboot.v index 2d8906f..dced53a 100644 --- a/cores/Spectrum/multiboot.v +++ b/cores/Spectrum/multiboot.v @@ -2,7 +2,6 @@ module multiboot ( input wire clk, input wire clk_icap, // WARNING: this clock must not be greater than 20MHz (50ns period) input wire rst_n, - input wire kb_boot_core, input wire [7:0] zxuno_addr, input wire zxuno_regwr, input wire [7:0] din @@ -58,7 +57,7 @@ module multiboot ( reg [4:0] q = 5'b00000; reg reboot_ff = 1'b0; always @(posedge clk_icap) begin - q[0] <= boot_core | kb_boot_core; + q[0] <= boot_core; q[1] <= q[0]; q[2] <= q[1]; q[3] <= q[2]; diff --git a/cores/Spectrum/ps2_keyb.v b/cores/Spectrum/ps2_keyb.v index e6e9409..5068e6f 100644 --- a/cores/Spectrum/ps2_keyb.v +++ b/cores/Spectrum/ps2_keyb.v @@ -32,6 +32,7 @@ module ps2_keyb( output wire nmi_out_n, output wire mrst_out_n, output wire [4:0] user_toggles, + output reg video_output_change, //--------------------------------- input wire [7:0] zxuno_addr, input wire zxuno_regrd, @@ -72,16 +73,23 @@ module ps2_keyb( | BSY | x | x | x | ERR | RLS | EXT | PEN | */ reg reading_kbstatus = 1'b0; + initial video_output_change = 1'b0; always @(posedge clk) begin kbstatus_dout[7:1] <= {ps2busy, 3'b000, kberror, released, extended}; - if (nueva_tecla == 1'b1) + if (nueva_tecla == 1'b1) begin kbstatus_dout[0] <= 1'b1; + if (kbcode == 8'h7E && released == 1'b0 && extended == 1'b0) begin // SCRLock to change between RGB and VGA 60Hz + video_output_change <= 1'b1; + end + end if (oe_n_kbstatus == 1'b0) reading_kbstatus <= 1'b1; else if (reading_kbstatus == 1'b1) begin kbstatus_dout[0] <= 1'b0; reading_kbstatus <= 1'b0; end + if (video_output_change == 1'b1) + video_output_change <= 1'b0; end ps2_port lectura_de_teclado ( diff --git a/cores/Spectrum/scancode_to_speccy.v b/cores/Spectrum/scancode_to_speccy.v index 22f0555..19dcbee 100644 --- a/cores/Spectrum/scancode_to_speccy.v +++ b/cores/Spectrum/scancode_to_speccy.v @@ -65,7 +65,7 @@ module scancode_to_speccy ( reg [13:0] addr = 14'h0000; reg [13:0] cpuaddr = 14'h0000; // Dirección E/S desde la CPU. Se autoincrementa en cada acceso initial begin - $readmemh ("keyb_es_hex.txt", keymap); + $readmemh ("../keymaps/keyb_es_hex.txt", keymap); end reg [2:0] keyrow1 = 3'h0; diff --git a/cores/Spectrum/scandoubler_ctrl.v b/cores/Spectrum/scandoubler_ctrl.v index 6b7ddab..a887f97 100644 --- a/cores/Spectrum/scandoubler_ctrl.v +++ b/cores/Spectrum/scandoubler_ctrl.v @@ -23,6 +23,7 @@ module scandoubler_ctrl ( input wire clk, input wire [15:0] a, + input wire kbd_change_video_output, input wire iorq_n, input wire wr_n, input wire [7:0] zxuno_addr, @@ -53,6 +54,10 @@ module scandoubler_ctrl ( scandblctrl <= din; else if (iorq_n == 1'b0 && wr_n == 1'b0 && a == PRISMSPEEDCTRL) scandblctrl[7:6] <= din[1:0]; + else if (kbd_change_video_output == 1'b1) begin + scandblctrl[0] <= ~scandblctrl[0]; + scandblctrl[4:2] <= (scandblctrl[0] == 1'b0)? 3'b111 : 3'b000; + end dout <= scandblctrl; end endmodule diff --git a/cores/Spectrum/zxuno.v b/cores/Spectrum/zxuno.v index 9eecc31..99347c8 100644 --- a/cores/Spectrum/zxuno.v +++ b/cores/Spectrum/zxuno.v @@ -128,6 +128,7 @@ module zxuno ( wire [7:0] kbstatus_dout; wire oe_n_kbstatus; wire [4:0] user_toggles; + wire video_output_change; // señal que da la tecla Scroll Lock para cambiar de modo de video // Interfaz joystick configurable wire oe_n_joystick; @@ -189,9 +190,6 @@ module zxuno ( wire [7:0] mousestatus_dout; wire oe_n_kmouse, oe_n_mousedata, oe_n_mousestatus; - // Multiboot - wire boot_second_core = user_toggles[1]; // KB triggered booting - // Asignación de dato para la CPU segun la decodificación de todos los dispositivos // conectados a ella. always @* begin @@ -400,6 +398,7 @@ module zxuno ( .nmi_out_n(nmi_n), // Señales de reset y NMI .mrst_out_n(mrst_n), // generadas por pulsaciones especiales del teclado .user_toggles(user_toggles), // funciones de usuario + .video_output_change(video_output_change), //---------------------------- .zxuno_addr(zxuno_addr), .zxuno_regrd(zxuno_regrd), @@ -479,8 +478,9 @@ module zxuno ( ); scandoubler_ctrl control_scandoubler ( - .clk(cpuclkplain), + .clk(clk28), .a(cpuaddr), + .kbd_change_video_output(video_output_change), .iorq_n(iorq_n), .wr_n(wr_n), .zxuno_addr(zxuno_addr), @@ -555,7 +555,6 @@ module zxuno ( .clk(cpuclkplain), .clk_icap(clk14), .rst_n(rst_n & mrst_n & power_on_reset_n), - .kb_boot_core(boot_second_core), .zxuno_addr(zxuno_addr), .zxuno_regwr(zxuno_regwr), .din(cpudout) diff --git a/firmware/bootloader.asm b/firmware/bootloader.asm index 76acd77..4239be0 100644 --- a/firmware/bootloader.asm +++ b/firmware/bootloader.asm @@ -143,7 +143,7 @@ bin2 jr nz, bin2 block $0100 - $ include scroll/define.asm ld sp, 0 - ld de, $5ee2+filesize-1 + ld de, $5e7c+filesize-1 ld hl, fin-1 ; ----------------------------------------------------------------------------- diff --git a/firmware/es.zx7b b/firmware/es.zx7b index 3d0a70020593f5d08736303e0338cf3d4dc3a505..9c86a1c824976752365e64dc7fd1b8e3e324e30f 100644 GIT binary patch delta 417 zcmV;S0bc&61FZvpSXKY^1p$J@|3?5p1ChV<)#xHv0|~!E11n<0MJmUvt3(5@Xaki0 zo&f6q7MoB5R?ouo;y>c2#?S*wqp(;5kO`|&I|4g@r~^ag|N7_yqGP071EK>dgJJ)D z(Zj=s0^R2!2Uq|4i2w2w1pj%W#1Al1n3YJ1trCIc{{aDiDF0tnK;k6-@j(GWA7M#< zsR9Ec15zOmLQ4BE0SE(11Op^W7eoUoXdh%H{~rNM1NA5aP!Cc_A8<+|iRc6H15(ff zFiI1!8!3=?rQ`$1N*Acym2Phz9|BK>6aIy>w zsssa66hle_aR>tf2Bi=*?cuni#6$}U1ITOOlkfx>5&+gH2sVIAE70 literal 423 zcmV;Y0a*U9s_Op>0fLDCj{rd;1L?o3=&>RL62C$NR$|18RL5AWL|+4F11bMK0M-93 zn@|I+&xG^EKgFkw&;ymDu&@J=604*;0z3Yw14GLH_2@+dW4WXQq61Qc!vFfB!w#K?P(dGIe^LSisv-lFArC?l z`-}ky1Cj&-Bo|Ud187PgWXb?NBU0!CqVNNh&;u|NQm`A4N_VN` z1IQOrsI$7_gddR$!~?_wm!un_15y_Yf+M~HL=S-nDZ(qIKMx2yFDN5b(8~V@gM|;F zQ<#-d%KuYUK~?{rs;Wf)@)bY;RR5j`04V=Y07UvBF zszCqp6a^bhVN|Om;>!O*AY}hhYk=X1`G6N<%3F2m`JH z29yx(;byp$#0zK&1IUf6@C4El02&20!GH@TK(2~GiiB0SV-VsHKaf~Gz`09`UZcXm@0LJ0#|Jmm`o`;*g0a(kYH^Ig^z80++>|Bv8 z0QM_*5Wn5w?gI11=Ky3oUyG#x#w&_MxB=Ov!5f_XZd=5m9%+9(X9l&$1v}E7%LbL_ z1`xZ!A%qJjQot`P7x?qTvt!EVu#pwR+{+Xn-sL3&aCX4}pP!uvkRFI#Ab_}e9{@D~ zc|f77iW<1&QIN%62KWVK-)6cm&;FJI>y;{$y{NbldcYX~RpP4Nh{}~TElYz33IK+v z2QtV`vOsi(%vXO*7ZLLSkzE4p2|&et2oKnbRQ<=8@{rmP`D3@#>}KmgHr9{@D~ML?;m zni{y}QIdr%1N?%rZ!_JuXTM8<^-2}WS#(?oJ>Uv}YH`(UMCD4FmZu>C1pq_P1D#|i zSs*$?)+=U+h;@H}$Swi)1fb$RLi*-N>XQkQeZPA!_~%7J)Pe>VO4n)EGpmHU}vCqH$9TClDkHc{q!Fuq1m8fGf-jpw)w1P%#JCjG)bd`dkZe zw+=*lzg9|U=ich^_fzlor_~L?L2=wiJajM}w*?0;lP@>`b_6H))WWKyW3LCg0IiB3 q^pq)wN*kgYLq#B+Hax0{V*CLnQab+9D7VxA0000@tf2Bi=*?cuni#6$}U1ITOO zlkfx*0M;l7Hh@bmKvAv?A7ZNFmx@8+5I>Yu!D}@D0dOZW0gxyQ0fZw10f+z-h=2f( C;iGT> delta 392 zcmV;30eAkG1C9fKR#pFf0Re*l@lgOl10=tFHRvJ(Rv`mIN&{jk#8RYV=V3$xXalAH z^Z-Jr;UsKFawo)0+0`zQab`me|uARpMU5BQQN|#1ENX;34?+o zE98;?r6;TZ$gk8&h$EGQ`ojP6R3xoY0)hYXK}7*UACzH#f0P0PDk1|R52ZpIlwv;; zqhSOCBp0Pb1C(eVWRm}n0T2U{CP!9-72f`~U6AyuX)RmzlDkuey>;EI-sBe-Y z|MC?{fc_N?y|2z=@|9Psa0RQq75Fq6LiGYCr@5bfb+xRk^TXbJj~1vbHe3nf6Vib0BmRkvdh;t)TORKaUC00D55hXD~iG@LpB