diff --git a/cores/MasterSystem/all.mem b/cores/MasterSystem/all.mem index 8a5f75a..2928813 100644 --- a/cores/MasterSystem/all.mem +++ b/cores/MasterSystem/all.mem @@ -22,545 +22,553 @@ @00000140 FF 85 FB 86 FF 87 00 88 00 89 FF 8A 30 3F 08 28 @00000150 02 22 0A 2A 15 35 1D 3D 17 37 1F 3F 30 03 08 28 @00000160 02 22 0A 2A 15 35 1D 3D 17 37 1F 3F C9 C9 C5 C5 -@00000170 21 04 80 E5 CD 72 0C C1 21 60 81 E5 CD 72 0C C1 -@00000180 21 0E 82 E5 CD 72 0C C1 21 FF 85 E5 CD 72 0C C1 -@00000190 21 00 87 E5 CD 72 0C C1 21 00 88 E5 CD 72 0C C1 -@000001A0 21 00 89 E5 CD 72 0C C1 21 00 C0 E5 CD 72 0C C1 +@00000170 21 04 80 E5 CD 5A 0C C1 21 60 81 E5 CD 5A 0C C1 +@00000180 21 0E 82 E5 CD 5A 0C C1 21 FF 85 E5 CD 5A 0C C1 +@00000190 21 00 87 E5 CD 5A 0C C1 21 00 88 E5 CD 5A 0C C1 +@000001A0 21 00 89 E5 CD 5A 0C C1 21 00 C0 E5 CD 5A 0C C1 @000001B0 21 4C 01 C1 11 00 00 EB C1 E5 D5 C3 C4 01 D1 E1 -@000001C0 23 E5 D5 2B 21 02 00 CD 0F 21 21 20 00 D1 CD 29 -@000001D0 22 D2 E3 01 E1 23 E5 2B CD 04 21 E5 CD 7E 0C C1 -@000001E0 C3 BE 01 21 00 3F E5 CD 72 0C C1 21 D0 00 7D CD -@000001F0 4E 22 E5 CD 7E 0C C1 CD 88 0C CD BC 0C 21 03 00 -@00000200 E5 21 00 00 E5 CD DB 0C C1 C1 21 77 0B E5 CD 5E -@00000210 0D C1 21 03 00 E5 21 01 00 E5 CD DB 0C C1 C1 21 -@00000220 91 0B E5 CD 5E 0D C1 21 00 00 D1 C1 E5 D5 CD 7B -@00000230 13 CD 36 21 D2 42 02 21 AB 0B E5 CD 5E 0D C1 C3 -@00000240 5D 02 CD CF 16 CD 36 21 D2 56 02 21 C9 0B E5 CD -@00000250 5E 0D C1 C3 5D 02 21 01 00 D1 C1 E5 D5 C1 E1 E5 -@00000260 C5 E5 CD 6C 02 C1 C3 F7 01 C1 C1 C9 21 00 00 E5 -@00000270 21 09 00 E5 21 0A 00 E5 CD DB 0C C1 C1 21 04 00 -@00000280 39 CD 0A 21 7C B5 CA 8F 02 CD 2A 03 C3 97 02 21 -@00000290 EA 0B E5 CD 5E 0D C1 C5 21 06 00 E5 21 0A 00 E5 -@000002A0 CD DB 0C C1 C1 C1 E1 E5 C5 7C B5 C2 B9 02 21 F8 -@000002B0 0B E5 CD 5E 0D C1 C3 C1 02 21 FA 0B E5 CD 5E 0D -@000002C0 C1 21 06 00 E5 21 0C 00 E5 CD DB 0C C1 C1 21 02 -@000002D0 00 CD 0F 21 21 01 00 D1 CD FD 20 D2 E9 02 21 F8 -@000002E0 0B E5 CD 5E 0D C1 C3 F1 02 21 FA 0B E5 CD 5E 0D -@000002F0 C1 CD 2D 0B C1 E5 CD 8C 20 06 03 10 00 06 03 20 -@00000300 00 00 00 C3 24 03 C1 E1 E5 C5 7C B5 C2 1E 03 21 -@00000310 06 00 39 CD 0A 21 7C B5 C4 2A 03 C3 21 03 CD 98 -@00000320 09 C1 C1 C9 C1 C3 97 02 C1 C9 21 00 00 E5 21 03 -@00000330 00 E5 C5 C5 C5 21 04 00 39 E5 CD BD 1F D1 CD 3C -@00000340 22 21 04 00 39 CD 0A 21 7C B5 C2 5B 03 21 FD 0B -@00000350 E5 CD 5E 0D C1 C1 C1 C1 C1 C1 C9 21 04 00 39 CD -@00000360 0A 21 D1 C1 E5 D5 21 04 00 39 CD 0A 21 C1 E5 C5 -@00000370 21 04 00 CD 0F 21 21 04 00 39 CD 0A 21 E5 CD 92 -@00000380 0A C1 C1 CD 5F 22 C1 E5 21 0A 00 39 34 7E 23 20 -@00000390 01 34 66 6F 21 0A 00 CD 0F 21 21 14 00 D1 CD 22 -@000003A0 21 D2 AF 03 21 08 00 39 11 03 00 EB CD 3C 22 21 -@000003B0 00 00 CD 0F 21 21 01 00 D1 CD 85 20 7C B5 CA DA -@000003C0 03 21 0A 00 CD 0F 21 21 0A 00 39 CD 0A 21 D1 CD -@000003D0 AA 20 21 00 00 CD FD 20 38 03 C3 3B 04 21 02 00 -@000003E0 CD 0F 21 21 08 00 39 CD 0A 21 D1 CD 35 22 D2 30 -@000003F0 04 21 02 00 39 E5 CD 0A 21 01 F0 FF 09 D1 CD 3C -@00000400 22 01 10 00 09 21 08 00 39 36 08 23 36 00 21 02 -@00000410 00 CD 0F 21 21 06 00 39 CD 0A 21 D1 CD 59 22 D2 -@00000420 30 04 21 04 00 39 E5 2B 2B CD 0A 21 D1 CD 3C 22 -@00000430 21 0A 00 39 11 00 00 EB CD 3C 22 21 00 00 CD 0F -@00000440 21 21 02 00 D1 CD 85 20 7C B5 CA 66 04 21 0A 00 -@00000450 CD 0F 21 21 0A 00 39 CD 0A 21 D1 CD AA 20 21 00 -@00000460 00 CD FD 20 38 03 C3 D8 04 C1 E1 E5 C5 01 10 00 -@00000470 09 CD 04 21 7C B5 CA CD 04 21 02 00 39 E5 CD 0A -@00000480 21 01 10 00 09 D1 CD 3C 22 01 F0 FF 09 21 08 00 -@00000490 39 36 08 23 36 00 21 02 00 CD 0F 21 21 06 00 39 -@000004A0 CD 0A 21 D1 EB A7 ED 52 EB 21 10 00 CD DE 20 11 -@000004B0 13 00 EB CD 22 21 D2 CD 04 21 04 00 39 E5 CD 0A -@000004C0 21 01 10 00 09 D1 CD 3C 22 01 F0 FF 09 21 0A 00 -@000004D0 39 11 00 00 EB CD 3C 22 21 00 00 CD 0F 21 21 04 -@000004E0 00 D1 CD 85 20 7C B5 CA 03 05 21 0A 00 CD 0F 21 -@000004F0 21 0A 00 39 CD 0A 21 D1 CD AA 20 21 00 00 CD FD -@00000500 20 38 03 C3 92 05 21 02 00 CD 0F 21 21 08 00 39 -@00000510 CD 0A 21 D1 CD 35 22 D2 31 05 21 02 00 CD 0F 21 -@00000520 21 08 00 39 CD 0A 21 01 40 00 09 D1 CD 53 22 38 -@00000530 03 C3 6E 05 C1 E1 E5 C5 01 B0 FF 09 D1 C1 E5 D5 -@00000540 21 08 00 39 36 08 23 36 00 21 02 00 CD 0F 21 21 -@00000550 06 00 39 CD 0A 21 D1 CD 59 22 D2 6B 05 21 04 00 -@00000560 39 E5 2B 2B CD 0A 21 D1 CD 3C 22 C3 87 05 21 06 -@00000570 00 39 CD 0A 21 D1 C1 E5 D5 21 04 00 39 E5 2B 2B -@00000580 CD 0A 21 D1 CD 3C 22 21 0A 00 39 11 00 00 EB CD -@00000590 3C 22 21 00 00 CD 0F 21 21 08 00 D1 CD 85 20 7C -@000005A0 B5 CA BD 05 21 0A 00 CD 0F 21 21 0A 00 39 CD 0A -@000005B0 21 D1 CD AA 20 21 00 00 CD FD 20 38 03 C3 20 07 -@000005C0 C1 E1 E5 C5 01 50 00 09 CD 04 21 11 00 00 EB CD -@000005D0 35 22 D2 28 06 C1 E1 E5 C5 01 40 00 09 CD 04 21 -@000005E0 11 00 00 EB CD 35 22 D2 28 06 C1 E1 E5 C5 01 30 -@000005F0 00 09 CD 04 21 11 00 00 EB CD 35 22 D2 28 06 C1 -@00000600 E1 E5 C5 01 20 00 09 CD 04 21 11 00 00 EB CD 35 -@00000610 22 D2 28 06 C1 E1 E5 C5 01 10 00 09 CD 04 21 11 -@00000620 00 00 EB CD 35 22 38 03 C3 76 06 C1 E1 E5 C5 01 -@00000630 50 00 09 D1 C1 E5 D5 21 08 00 39 36 08 23 36 00 -@00000640 21 02 00 CD 0F 21 21 06 00 39 CD 0A 21 D1 EB A7 -@00000650 ED 52 EB 21 10 00 CD DE 20 11 13 00 EB CD 22 21 -@00000660 D2 73 06 21 04 00 39 E5 CD 0A 21 01 50 00 09 D1 -@00000670 CD 3C 22 C3 15 07 C1 E1 E5 C5 01 10 00 09 CD 04 -@00000680 21 7C B5 C2 91 06 C1 E1 E5 C5 D1 C1 E5 D5 C3 0A -@00000690 07 C1 E1 E5 C5 01 20 00 09 CD 04 21 7C B5 C2 B0 -@000006A0 06 C1 E1 E5 C5 01 10 00 09 D1 C1 E5 D5 C3 0A 07 -@000006B0 C1 E1 E5 C5 01 30 00 09 CD 04 21 7C B5 C2 CF 06 -@000006C0 C1 E1 E5 C5 01 20 00 09 D1 C1 E5 D5 C3 0A 07 C1 -@000006D0 E1 E5 C5 01 40 00 09 CD 04 21 7C B5 C2 EE 06 C1 -@000006E0 E1 E5 C5 01 30 00 09 D1 C1 E5 D5 C3 0A 07 C1 E1 -@000006F0 E5 C5 01 50 00 09 CD 04 21 7C B5 C2 0A 07 C1 E1 -@00000700 E5 C5 01 40 00 09 D1 C1 E5 D5 21 08 00 39 11 08 -@00000710 00 EB CD 3C 22 21 0A 00 39 11 00 00 EB CD 3C 22 -@00000720 21 00 00 CD 0F 21 21 30 00 D1 CD 85 20 7C B5 CA -@00000730 4B 07 21 0A 00 CD 0F 21 21 0A 00 39 CD 0A 21 D1 -@00000740 CD AA 20 21 00 00 CD FD 20 38 03 C3 DD 07 C1 E1 -@00000750 E5 C5 CD 04 21 11 10 00 EB CD 85 20 7C B5 C2 C0 -@00000760 07 21 06 00 39 E5 21 04 00 39 CD 0A 21 01 0C 00 -@00000770 09 CD 18 21 D5 E5 CD A8 1E C1 C1 D1 CD 3C 22 21 -@00000780 06 00 39 CD 0A 21 7C B5 C2 98 07 21 1A 0C E5 CD -@00000790 5E 0D 21 0E 00 39 F9 C9 21 04 00 39 EB 21 06 00 -@000007A0 39 CD 0A 21 CD 3C 22 21 06 00 39 CD 0A 21 D1 C1 -@000007B0 E5 D5 21 08 00 39 11 0C 00 EB CD 3C 22 C3 D2 07 -@000007C0 C1 E1 E5 C5 E5 CD E7 07 C1 CD 98 09 21 0C 00 39 -@000007D0 F9 C9 21 0A 00 39 11 00 00 EB CD 3C 22 C1 C3 6F -@000007E0 03 C1 C1 C1 C1 C1 C9 21 F2 FF 39 F9 CD BC 0C 21 -@000007F0 38 0C E5 CD 5E 0D C1 21 04 00 39 11 00 00 EB CD -@00000800 3C 22 C3 12 08 21 04 00 39 34 7E 23 20 01 34 66 -@00000810 6F 2B 21 04 00 CD 0F 21 21 08 00 D1 CD 29 22 D2 -@00000820 3E 08 21 10 00 39 CD 0A 21 23 EB 21 04 00 39 CD -@00000830 0A 21 19 CD 04 21 E5 CD A3 0C C1 C3 05 08 21 2E -@00000840 00 7D CD 4E 22 E5 CD A3 0C C1 21 04 00 39 11 08 -@00000850 00 EB CD 3C 22 C3 65 08 21 04 00 39 34 7E 23 20 -@00000860 01 34 66 6F 2B 21 04 00 CD 0F 21 21 0B 00 D1 CD -@00000870 29 22 D2 91 08 21 10 00 39 CD 0A 21 23 EB 21 04 -@00000880 00 39 CD 0A 21 19 CD 04 21 E5 CD A3 0C C1 C3 58 -@00000890 08 21 41 0C E5 CD 5E 0D C1 21 06 00 39 E5 21 12 -@000008A0 00 39 CD 0A 21 01 0C 00 09 CD 18 21 D5 E5 CD 55 -@000008B0 1B C1 C1 C1 21 00 00 39 E5 21 00 00 CD 2E 21 C1 -@000008C0 CD 42 22 C5 21 02 00 39 CD 18 21 D5 E5 21 FF 3F -@000008D0 11 00 00 CD 46 21 7C B5 B2 B3 C2 FB 08 21 FF FF -@000008E0 E5 21 04 00 39 CD 18 21 0E 0E CD 58 21 D5 E5 21 -@000008F0 FF 00 11 00 00 CD 46 21 D1 7D 12 21 02 00 39 CD -@00000900 18 21 D5 E5 21 FF 3F 11 00 00 CD 46 21 11 00 80 -@00000910 19 C1 E5 21 08 00 39 E5 C1 E1 E5 C5 E5 CD 88 1B -@00000920 C1 C1 C1 E5 7C B5 C2 34 09 21 44 0C E5 CD 5E 0D -@00000930 C1 C3 8E 09 21 00 00 CD 0F 21 21 FF FF D1 CD FD -@00000940 20 D2 55 09 21 00 00 E5 21 02 00 E5 CD DB 0C 21 -@00000950 14 00 39 F9 C9 21 02 00 39 E5 CD 18 21 D5 E5 21 -@00000960 00 02 11 00 00 CD 3B 21 C1 CD 42 22 21 02 00 39 -@00000970 CD 18 21 D5 E5 21 00 40 11 00 00 CD 68 21 D9 7C -@00000980 B5 B2 B3 C2 8E 09 21 5E 0C E5 CD 5E 0D C1 C1 C3 -@00000990 C3 08 21 0E 00 39 F9 C9 21 FD FF 36 00 21 FE FF -@000009A0 36 01 21 FF FF 36 02 6E 26 00 D3 00 C9 C5 C5 21 -@000009B0 06 00 39 CD 0A 21 CD 04 21 11 10 00 EB CD 85 20 -@000009C0 11 00 00 EB CD FD 20 21 00 00 CB 15 D1 C1 E5 D5 -@000009D0 CD 36 21 D2 E5 09 21 20 00 7D CD 4E 22 E5 CD A3 -@000009E0 0C C1 C3 F1 09 21 5B 00 7D CD 4E 22 E5 CD A3 0C -@000009F0 C1 21 00 00 C1 E5 C3 FD 09 E1 23 E5 2B 21 00 00 -@00000A00 CD 0F 21 21 08 00 D1 CD 29 22 D2 24 0A 21 06 00 -@00000A10 39 CD 0A 21 23 EB E1 E5 19 CD 04 21 E5 CD A3 0C -@00000A20 C1 C3 F9 09 21 2E 00 7D CD 4E 22 E5 CD A3 0C C1 -@00000A30 21 00 00 C1 E5 C3 3C 0A E1 23 E5 2B 21 00 00 CD -@00000A40 0F 21 21 03 00 D1 CD 29 22 D2 6A 0A 21 06 00 39 -@00000A50 CD 0A 21 23 E5 C1 E1 E5 C5 11 08 00 19 D1 19 CD -@00000A60 04 21 E5 CD A3 0C C1 C3 38 0A C1 E1 E5 C5 CD 36 -@00000A70 21 D2 83 0A 21 20 00 7D CD 4E 22 E5 CD A3 0C C1 -@00000A80 C3 8F 0A 21 5D 00 7D CD 4E 22 E5 CD A3 0C C1 C1 -@00000A90 C1 C9 21 00 00 E5 C3 9D 0A E1 23 E5 2B 21 00 00 -@00000AA0 CD 0F 21 21 14 00 D1 CD 29 22 D2 2B 0B 21 06 00 -@00000AB0 E5 C1 E1 E5 C5 11 04 00 19 E5 CD DB 0C C1 C1 21 -@00000AC0 06 00 CD 0F 21 C1 E1 E5 C5 29 29 29 29 D1 19 EB -@00000AD0 21 04 00 39 CD 0A 21 CD FD 20 D2 E8 0A 21 60 0C -@00000AE0 E5 CD 5E 0D C1 C3 F0 0A 21 FA 0B E5 CD 5E 0D C1 -@00000AF0 21 06 00 CD 0F 21 C1 E1 E5 C5 29 29 29 29 D1 19 -@00000B00 CD 04 21 7C B5 CA 20 0B 21 06 00 CD 0F 21 C1 E1 -@00000B10 E5 C5 29 29 29 29 D1 19 E5 CD AD 09 C1 C3 28 0B -@00000B20 21 63 0C E5 CD 5E 0D C1 C3 99 0A C1 C9 C5 C5 CD -@00000B30 5F 22 D1 C1 E5 D5 CD 5F 22 C1 E5 21 00 00 CD 0F -@00000B40 21 21 04 00 39 CD 0A 21 CD A3 20 D1 CD 85 20 7C -@00000B50 B5 CA 6B 0B 21 00 00 CD 0F 21 21 04 00 39 CD 0A -@00000B60 21 CD A3 20 D1 CD 85 20 C1 C1 C9 E1 E5 D1 C1 E5 -@00000B70 D5 C3 36 0B C1 C1 C9 4D 41 53 54 45 52 20 53 59 -@00000B80 53 54 45 4D 20 52 4F 4D 20 4C 4F 41 44 45 52 0D -@00000B90 00 2D 2D 2D 2D 2D 2D 2D 2D 2D 2D 2D 2D 2D 2D 2D -@00000BA0 2D 2D 2D 2D 2D 2D 2D 2D 2D 0D 00 43 6F 75 6C 64 -@00000BB0 20 6E 6F 74 20 69 6E 69 74 69 61 6C 69 7A 65 20 -@00000BC0 53 44 20 63 61 72 64 0D 00 63 6F 75 6C 64 20 6E -@00000BD0 6F 74 20 69 6E 69 74 69 61 6C 69 7A 65 20 46 41 -@00000BE0 54 20 73 79 73 74 65 6D 0D 00 72 65 74 72 79 20 -@00000BF0 53 44 20 63 61 72 64 00 3E 00 20 20 00 45 72 72 -@00000C00 6F 72 20 72 65 61 64 69 6E 67 20 72 6F 6F 74 20 -@00000C10 64 69 72 65 63 74 6F 72 79 00 45 72 72 6F 72 20 -@00000C20 77 68 69 6C 65 20 72 65 61 64 69 6E 67 20 64 69 -@00000C30 72 65 63 74 6F 72 79 00 4C 6F 61 64 69 6E 67 20 -@00000C40 00 0D 0D 00 45 72 72 6F 72 20 77 68 69 6C 65 20 -@00000C50 72 65 61 64 69 6E 67 20 66 69 6C 65 0D 00 2E 00 -@00000C60 3E 20 00 20 20 20 20 20 20 20 20 20 20 20 20 20 -@00000C70 20 00 21 02 00 39 7E D3 BF 23 7E D3 BF C9 21 02 -@00000C80 00 39 7E D3 BE C9 00 C0 21 00 00 E5 E5 CD DB 0C -@00000C90 C1 C1 2A 86 0C 23 23 E5 21 00 00 7D CD 4E 22 D1 -@00000CA0 7D 12 C9 21 02 00 39 7E D3 BE 3E 00 D3 BE 2A 86 -@00000CB0 0C E5 CD 0A 21 23 23 D1 CD 3C 22 C9 3E 00 D3 BF -@00000CC0 3E 38 D3 BF AF 2E 07 06 00 D3 BE 10 FC 2D 20 F7 -@00000CD0 21 00 00 E5 E5 CD DB 0C C1 C1 C9 21 02 00 CD 0F -@00000CE0 21 21 00 00 CD 0F 21 C1 E1 E5 C5 D1 19 C1 E5 21 -@00000CF0 00 00 CD 0F 21 C1 E1 E5 C5 D1 19 C1 E5 21 00 00 -@00000D00 CD 0F 21 C1 E1 E5 C5 D1 19 C1 E5 21 00 00 CD 0F -@00000D10 21 C1 E1 E5 C5 D1 19 C1 E5 21 00 00 CD 0F 21 C1 -@00000D20 E1 E5 C5 D1 19 C1 E5 21 00 00 CD 0F 21 21 08 00 -@00000D30 39 CD 0A 21 D1 19 C1 E5 21 00 00 CD 0F 21 C1 E1 -@00000D40 E5 C5 D1 19 C1 E5 01 00 38 09 C1 E5 2A 86 0C EB -@00000D50 E1 E5 CD 3C 22 E1 E5 E5 CD 72 0C C1 C1 C9 C5 3B -@00000D60 2A 86 0C CD 0A 21 E5 CD 72 0C C1 21 00 00 39 E5 -@00000D70 21 07 00 39 34 7E 23 20 01 34 66 6F 2B CD 04 21 -@00000D80 7D CD 4E 22 D1 7D 12 21 00 00 39 CD 04 21 7D FE -@00000D90 00 CA 9C 0D FE 0D CA 9F 0D C3 D5 0D 33 C1 C9 21 -@00000DA0 01 00 39 E5 2A 86 0C 5E 23 56 21 C0 3F CD 85 20 -@00000DB0 01 40 00 09 D1 CD 3C 22 ED 5B 86 0C 21 01 00 39 -@00000DC0 CD 0A 21 CD 3C 22 21 01 00 39 CD 0A 21 E5 CD 72 -@00000DD0 0C C1 C3 E1 0D 21 00 00 39 CD 04 21 E5 CD A3 0C -@00000DE0 C1 C3 6B 0D 33 C1 C9 21 02 00 CD 0F 21 21 0A 00 -@00000DF0 D1 CD 29 22 D2 0B 0E C1 E1 E5 C5 11 30 00 19 7D -@00000E00 CD 4E 22 E5 CD A3 0C C1 C3 20 0E C1 E1 E5 C5 01 -@00000E10 F6 FF 09 11 61 00 19 7D CD 4E 22 E5 CD A3 0C C1 -@00000E20 C9 21 02 00 CD 70 22 21 04 00 D1 CD 66 22 11 0F -@00000E30 00 EB CD 85 20 E5 CD E7 0D C1 21 02 00 CD 70 22 -@00000E40 21 0F 00 D1 CD 85 20 E5 CD E7 0D C1 C9 21 02 00 -@00000E50 CD 0F 21 21 0C 00 D1 CD 66 22 11 0F 00 EB CD 85 -@00000E60 20 E5 CD E7 0D C1 21 02 00 CD 0F 21 21 08 00 D1 -@00000E70 CD 66 22 11 0F 00 EB CD 85 20 E5 CD E7 0D C1 21 -@00000E80 02 00 CD 0F 21 21 04 00 D1 CD 66 22 11 0F 00 EB -@00000E90 CD 85 20 E5 CD E7 0D C1 21 02 00 CD 0F 21 21 0F -@00000EA0 00 D1 CD 85 20 E5 CD E7 0D C1 C9 21 02 00 39 CD -@00000EB0 18 21 0E 1C CD 58 21 D5 E5 21 0F 00 11 00 00 CD -@00000EC0 46 21 E5 CD E7 0D C1 21 02 00 39 CD 18 21 0E 18 -@00000ED0 CD 58 21 D5 E5 21 0F 00 11 00 00 CD 46 21 E5 CD -@00000EE0 E7 0D C1 21 02 00 39 CD 18 21 0E 14 CD 58 21 D5 -@00000EF0 E5 21 0F 00 11 00 00 CD 46 21 E5 CD E7 0D C1 21 -@00000F00 02 00 39 CD 18 21 0E 10 CD 58 21 D5 E5 21 0F 00 -@00000F10 11 00 00 CD 46 21 E5 CD E7 0D C1 21 02 00 39 CD -@00000F20 18 21 0E 0C CD 58 21 D5 E5 21 0F 00 11 00 00 CD -@00000F30 46 21 E5 CD E7 0D C1 21 02 00 39 CD 18 21 0E 08 -@00000F40 CD 58 21 D5 E5 21 0F 00 11 00 00 CD 46 21 E5 CD -@00000F50 E7 0D C1 21 02 00 39 CD 18 21 0E 04 CD 58 21 D5 -@00000F60 E5 21 0F 00 11 00 00 CD 46 21 E5 CD E7 0D C1 21 -@00000F70 02 00 39 CD 18 21 D5 E5 21 0F 00 11 00 00 CD 46 -@00000F80 21 E5 CD E7 0D C1 C9 80 C0 2A 87 0F E5 21 04 00 -@00000F90 39 CD 04 21 D1 7D 12 C9 21 02 00 39 7E E6 7F F6 -@00000FA0 80 D3 C0 C9 DB 00 E6 7F D3 C0 C9 DB 00 F6 80 D3 -@00000FB0 C0 C9 DB 00 E6 80 28 FA C9 21 02 00 39 7E D3 C1 -@00000FC0 CD B2 0F C9 21 FF 00 7D CD 4E 22 E5 CD B9 0F C1 -@00000FD0 C9 CD C4 0F DB 01 6F 26 00 C9 C5 21 00 00 39 E5 -@00000FE0 21 0A 00 7D CD 4E 22 D1 7D 12 C3 F9 0F 21 00 00 -@00000FF0 39 E5 CD 04 21 2B D1 7D 12 21 00 00 39 CD 04 21 -@00001000 AF B4 FA 2C 10 B5 CA 2C 10 21 01 00 39 E5 CD D1 -@00001010 0F 7D CD 4E 22 D1 7D 12 21 01 00 CD 70 22 21 80 -@00001020 00 D1 CD 85 20 7C B5 28 03 C3 ED 0F 21 01 00 39 -@00001030 CD 04 21 26 00 C1 C9 C5 21 00 00 39 E5 21 0A 00 -@00001040 7D CD 4E 22 D1 7D 12 C3 56 10 21 00 00 39 E5 CD -@00001050 04 21 2B D1 7D 12 21 00 00 39 CD 04 21 AF B4 FA -@00001060 BA 10 B5 CA BA 10 21 01 00 39 E5 CD D1 0F 7D CD -@00001070 4E 22 D1 7D 12 21 01 00 CD 70 22 21 01 00 D1 CD -@00001080 FD 20 DA B4 10 21 01 00 CD 70 22 21 C0 00 D1 CD -@00001090 FD 20 DA B4 10 21 01 00 CD 70 22 21 80 00 D1 CD -@000010A0 FD 20 DA B4 10 21 01 00 CD 70 22 21 20 00 D1 CD -@000010B0 FD 20 30 03 C3 BA 10 C3 4A 10 21 01 00 39 CD 04 -@000010C0 21 26 00 C1 C9 C5 CD D1 0F 21 01 00 39 E5 21 0A -@000010D0 00 7D CD 4E 22 D1 7D 12 C3 E7 10 21 01 00 39 E5 -@000010E0 CD 04 21 2B D1 7D 12 21 01 00 39 CD 04 21 AF B4 -@000010F0 FA 18 11 B5 CA 18 11 21 00 00 39 E5 CD D1 0F 7D -@00001100 CD 4E 22 D1 7D 12 21 00 00 CD 70 22 21 FF 00 D1 -@00001110 CD FD 20 38 03 C3 DB 10 21 00 00 39 CD 04 21 26 -@00001120 00 C1 C9 3B CD C5 10 21 40 00 7D CD 4E 22 E5 CD -@00001130 B9 0F C1 21 00 00 7D CD 4E 22 E5 CD B9 0F C1 21 -@00001140 00 00 7D CD 4E 22 E5 CD B9 0F C1 21 00 00 7D CD -@00001150 4E 22 E5 CD B9 0F C1 21 00 00 7D CD 4E 22 E5 CD -@00001160 B9 0F C1 21 95 00 7D CD 4E 22 E5 CD B9 0F C1 21 -@00001170 00 00 39 E5 CD DA 0F 7D CD 4E 22 D1 7D 12 21 00 -@00001180 00 39 CD 04 21 26 00 33 C9 3B CD C5 10 21 48 00 -@00001190 7D CD 4E 22 E5 CD B9 0F C1 21 00 00 7D CD 4E 22 -@000011A0 E5 CD B9 0F C1 21 00 00 7D CD 4E 22 E5 CD B9 0F -@000011B0 C1 21 01 00 7D CD 4E 22 E5 CD B9 0F C1 21 AA 00 -@000011C0 7D CD 4E 22 E5 CD B9 0F C1 21 87 00 7D CD 4E 22 -@000011D0 E5 CD B9 0F C1 21 00 00 39 E5 CD DA 0F 7D CD 4E -@000011E0 22 D1 7D 12 CD C4 0F CD C4 0F CD C4 0F CD C4 0F -@000011F0 CD C4 0F 21 00 00 39 CD 04 21 26 00 33 C9 3B 21 -@00001200 77 00 7D CD 4E 22 E5 CD B9 0F C1 21 00 00 7D CD -@00001210 4E 22 E5 CD B9 0F C1 21 00 00 7D CD 4E 22 E5 CD -@00001220 B9 0F C1 21 00 00 7D CD 4E 22 E5 CD B9 0F C1 21 -@00001230 00 00 7D CD 4E 22 E5 CD B9 0F C1 21 FF 00 7D CD -@00001240 4E 22 E5 CD B9 0F C1 21 00 00 39 E5 CD DA 0F 7D -@00001250 CD 4E 22 D1 7D 12 21 00 00 CD 70 22 21 01 00 D1 -@00001260 CD 22 21 D2 6B 12 21 FF 00 33 C9 CD C5 10 21 69 -@00001270 00 7D CD 4E 22 E5 CD B9 0F C1 21 03 00 39 6E 26 -@00001280 00 E5 CD B9 0F C1 21 00 00 7D CD 4E 22 E5 CD B9 -@00001290 0F C1 21 00 00 7D CD 4E 22 E5 CD B9 0F C1 21 00 -@000012A0 00 7D CD 4E 22 E5 CD B9 0F C1 21 FF 00 7D CD 4E -@000012B0 22 E5 CD B9 0F C1 21 00 00 39 E5 CD DA 0F 7D CD -@000012C0 4E 22 D1 7D 12 CD C4 0F CD C4 0F 21 00 00 39 CD -@000012D0 04 21 26 00 33 C9 C5 CD C5 10 21 7A 00 7D CD 4E -@000012E0 22 E5 CD B9 0F C1 21 00 00 7D CD 4E 22 E5 CD B9 -@000012F0 0F C1 21 00 00 7D CD 4E 22 E5 CD B9 0F C1 21 00 -@00001300 00 7D CD 4E 22 E5 CD B9 0F C1 21 00 00 7D CD 4E -@00001310 22 E5 CD B9 0F C1 21 FF 00 7D CD 4E 22 E5 CD B9 -@00001320 0F C1 21 01 00 39 E5 CD DA 0F 7D CD 4E 22 D1 7D -@00001330 12 21 00 00 39 E5 CD D1 0F D1 7D 12 21 00 00 39 -@00001340 7E FE C0 C2 55 13 21 01 00 7D CD 4E 22 E5 CD 89 -@00001350 0F C1 C3 61 13 21 00 00 7D CD 4E 22 E5 CD 89 0F -@00001360 C1 CD C4 0F CD C4 0F CD C4 0F CD C4 0F CD C4 0F -@00001370 21 01 00 39 CD 04 21 26 00 C1 C9 3B 21 7F 00 7D -@00001380 CD 4E 22 E5 CD 98 0F C1 CD A4 0F 21 00 00 39 36 -@00001390 10 6E 26 00 C3 9C 13 21 00 00 39 35 21 00 00 39 -@000013A0 7E A7 CA B4 13 21 FF 00 7D CD 4E 22 E5 CD B9 0F -@000013B0 C1 C3 97 13 CD AB 0F 21 FF 00 7D CD 4E 22 E5 CD -@000013C0 B9 0F C1 21 FF 00 7D CD 4E 22 E5 CD B9 0F C1 CD -@000013D0 A4 0F 21 00 00 39 36 FF 6E 26 00 CD 23 11 11 01 -@000013E0 00 EB CD 35 22 D2 0F 14 21 00 00 39 6E 26 00 7C -@000013F0 B5 C2 FC 13 CD AB 0F 21 00 00 33 C9 21 00 00 39 -@00001400 E5 21 02 00 39 6E 26 00 2B D1 7D 12 C3 DB 13 CD -@00001410 89 11 2B 7C B5 C2 6F 14 CD C4 0F 21 00 00 39 36 -@00001420 FF 21 40 00 E5 CD FE 11 C1 11 01 00 EB CD 85 20 -@00001430 7C B5 CA 5C 14 21 00 00 39 6E 26 00 7C B5 C2 49 -@00001440 14 CD AB 0F 21 00 00 33 C9 21 00 00 39 E5 21 02 -@00001450 00 39 6E 26 00 2B D1 7D 12 C3 21 14 CD D6 12 7C -@00001460 B5 CA 6C 14 CD AB 0F 21 00 00 33 C9 C3 CD 14 21 -@00001470 00 00 E5 CD FE 11 C1 11 01 00 EB CD 7A 22 D2 C5 -@00001480 14 21 00 00 39 36 FF 21 00 00 E5 CD FE 11 C1 11 -@00001490 01 00 EB CD 85 20 7C B5 CA C2 14 21 00 00 39 6E -@000014A0 26 00 7C B5 C2 AF 14 CD AB 0F 21 00 00 33 C9 21 -@000014B0 00 00 39 E5 21 02 00 39 6E 26 00 2B D1 7D 12 C3 -@000014C0 87 14 C3 CD 14 CD AB 0F 21 00 00 33 C9 CD AB 0F -@000014D0 21 00 00 7D CD 4E 22 E5 CD 98 0F C1 21 01 00 33 -@000014E0 C9 21 02 00 39 5E 23 56 EB 01 02 00 3E FF D3 C1 -@000014F0 DB 00 E6 80 28 FA DB 01 77 23 10 F0 0D 20 ED C9 -@00001500 C5 C5 C5 21 02 00 39 E5 21 0A 00 39 CD 18 21 3E -@00001510 09 CD 88 22 C1 CD 42 22 2A 87 0F 7E FE 01 C2 31 -@00001520 15 21 02 00 39 E5 21 0A 00 39 CD 18 21 C1 CD 42 -@00001530 22 CD C5 10 CD A4 0F 21 51 00 7D CD 4E 22 E5 CD -@00001540 B9 0F C1 21 02 00 39 CD 18 21 0E 18 CD 58 21 D5 -@00001550 E5 21 FF 00 11 00 00 CD 46 21 7D CD 4E 22 E5 CD -@00001560 B9 0F C1 21 02 00 39 CD 18 21 0E 10 CD 58 21 D5 -@00001570 E5 21 FF 00 11 00 00 CD 46 21 7D CD 4E 22 E5 CD -@00001580 B9 0F C1 21 02 00 39 CD 18 21 0E 08 CD 58 21 D5 -@00001590 E5 21 FF 00 11 00 00 CD 46 21 7D CD 4E 22 E5 CD -@000015A0 B9 0F C1 21 02 00 39 CD 18 21 D5 E5 21 FF 00 11 -@000015B0 00 00 CD 46 21 7D CD 4E 22 E5 CD B9 0F C1 21 FF -@000015C0 00 7D CD 4E 22 E5 CD B9 0F C1 21 01 00 39 E5 CD -@000015D0 DA 0F 7D CD 4E 22 D1 7D 12 21 01 00 CD 70 22 21 -@000015E0 80 00 D1 CD 85 20 7C B5 CA F5 15 CD AB 0F 21 00 -@000015F0 00 C1 C1 C1 C9 21 00 00 39 E5 21 FF 00 7D CD 4E -@00001600 22 D1 7D 12 CD D1 0F 11 FE 00 EB CD 35 22 D2 3E -@00001610 16 21 00 00 39 CD 04 21 7C B5 C2 27 16 CD AB 0F -@00001620 21 00 00 C1 C1 C1 C9 21 00 00 39 E5 21 02 00 39 -@00001630 CD 04 21 2B 7D CD 4E 22 D1 7D 12 C3 04 16 21 0C -@00001640 00 39 CD 0A 21 E5 CD E1 14 C1 CD C4 0F CD C4 0F -@00001650 CD C4 0F CD AB 0F 21 01 00 C1 C1 C1 C9 10 C0 00 -@00001660 C1 00 C3 00 C5 C1 E1 E5 C5 6E 26 00 E5 21 04 00 -@00001670 39 CD 0A 21 23 5E 16 00 2E 08 CD 94 22 D1 CD 09 -@00001680 23 E5 21 04 00 39 CD 0A 21 23 23 5E 16 00 2E 10 -@00001690 CD 94 22 D1 CD 09 23 E5 21 04 00 39 CD 0A 21 23 -@000016A0 23 23 5E 16 00 2E 18 CD 94 22 D1 CD 09 23 CD 2E -@000016B0 21 C9 C1 E1 E5 C5 6E 26 00 E5 21 04 00 39 CD 0A -@000016C0 21 23 5E 16 00 2E 08 CD 94 22 D1 CD 09 23 C9 C5 -@000016D0 C5 21 00 00 39 E5 21 00 00 CD 2E 21 C1 CD 42 22 -@000016E0 2A 61 16 E5 21 02 00 39 CD 18 21 D5 E5 CD 00 15 -@000016F0 C1 C1 C1 CD 36 21 D2 07 17 21 DF 1F E5 CD 5E 0D -@00001700 C1 21 00 00 C1 C1 C9 2A 61 16 01 FE 01 09 7E FE -@00001710 55 C2 21 17 2A 61 16 01 FF 01 09 7E FE AA CA 2F -@00001720 17 21 F2 1F E5 CD 5E 0D C1 21 00 00 C1 C1 C9 2A -@00001730 61 16 01 C2 01 09 6E 26 00 7D FE 06 CA 47 17 FE -@00001740 0B CA 58 17 C3 69 17 2A 5D 16 E5 21 00 00 7D CD -@00001750 4E 22 D1 7D 12 C3 77 17 2A 5D 16 E5 21 01 00 7D -@00001760 CD 4E 22 D1 7D 12 C3 77 17 21 FD 1F E5 CD 5E 0D -@00001770 C1 21 00 00 C1 C1 C9 21 00 00 39 E5 2A 61 16 01 -@00001780 C6 01 09 E5 CD 65 16 C1 C1 CD 42 22 2A 61 16 E5 -@00001790 21 02 00 39 CD 18 21 D5 E5 CD 00 15 C1 C1 C1 CD -@000017A0 36 21 D2 B3 17 21 26 20 E5 CD 5E 0D C1 21 00 00 -@000017B0 C1 C1 C9 2A 61 16 01 FE 01 09 7E FE 55 C2 CD 17 -@000017C0 2A 61 16 01 FF 01 09 7E FE AA CA DB 17 21 47 20 -@000017D0 E5 CD 5E 0D C1 21 00 00 C1 C1 C9 2A 61 16 01 0B -@000017E0 00 09 7E FE 00 C2 F5 17 2A 61 16 01 0C 00 09 7E -@000017F0 FE 02 CA 21 18 21 5A 20 E5 CD 5E 0D C1 2A 61 16 -@00001800 01 0B 00 09 6E 26 00 E5 CD 21 0E C1 2A 61 16 01 -@00001810 0C 00 09 6E 26 00 E5 CD 21 0E C1 21 00 00 C1 C1 -@00001820 C9 2A 5D 16 23 E5 2A 61 16 01 0D 00 09 6E 26 00 -@00001830 7D CD 4E 22 D1 7D 12 2A 5D 16 23 23 E5 21 02 00 -@00001840 39 CD 18 21 D5 E5 2A 61 16 01 0E 00 09 E5 CD B2 -@00001850 16 C1 CD 2E 21 CD 3B 21 C1 CD 42 22 2A 5D 16 CD -@00001860 04 21 7C B5 CA 6D 18 CD 76 18 C3 70 18 CD FC 18 -@00001870 21 01 00 C1 C1 C9 C5 C5 3B 21 04 00 39 E5 2A 61 -@00001880 16 01 10 00 09 7E D1 12 21 00 00 39 E5 2A 61 16 -@00001890 01 24 00 09 E5 CD 65 16 C1 C1 CD 42 22 2A 5D 16 -@000018A0 01 06 00 09 E5 2A 5D 16 23 23 CD 18 21 C1 CD 42 -@000018B0 22 C3 B9 18 21 04 00 39 35 21 04 00 39 7E A7 CA -@000018C0 E0 18 2A 5D 16 01 06 00 09 E5 CD 18 21 D5 E5 21 -@000018D0 06 00 39 CD 18 21 CD 3B 21 C1 CD 42 22 C3 B4 18 -@000018E0 2A 5D 16 01 12 00 09 E5 2A 61 16 01 2C 00 09 E5 -@000018F0 CD 65 16 C1 C1 CD 42 22 33 C1 C1 C9 C5 3B 21 02 -@00001900 00 39 E5 2A 61 16 01 10 00 09 7E D1 12 2A 61 16 -@00001910 01 16 00 09 E5 CD B2 16 C1 C1 E5 2A 5D 16 01 12 -@00001920 00 09 E5 2A 5D 16 23 23 CD 18 21 C1 CD 42 22 C3 -@00001930 37 19 21 02 00 39 35 21 02 00 39 7E A7 CA 61 19 -@00001940 2A 5D 16 01 12 00 09 E5 CD 18 21 D5 E5 21 06 00 -@00001950 39 CD 0A 21 CD 2E 21 CD 3B 21 C1 CD 42 22 C3 32 -@00001960 19 2A 5D 16 01 16 00 09 E5 2A 61 16 01 11 00 09 -@00001970 E5 CD B2 16 C1 EB 2E 04 CD 66 22 D1 CD 3C 22 2A -@00001980 5D 16 01 06 00 09 E5 2A 5D 16 01 12 00 09 CD 18 -@00001990 21 D5 E5 2A 5D 16 01 16 00 09 CD 0A 21 CD 2E 21 -@000019A0 CD 3B 21 C1 CD 42 22 33 C1 C9 21 02 00 39 E5 CD -@000019B0 18 21 D5 E5 2A 5D 16 23 23 CD 18 21 CD 3B 21 C1 -@000019C0 CD 42 22 2A 5D 16 01 0E 00 09 CD 18 21 D5 E5 21 -@000019D0 06 00 39 CD 18 21 CD A7 22 D2 E0 19 21 01 00 C9 -@000019E0 2A 5F 16 E5 21 04 00 39 CD 18 21 D5 E5 CD 00 15 -@000019F0 C1 C1 C1 7C B5 CA 0F 1A 2A 5D 16 01 0E 00 09 E5 -@00001A00 21 04 00 39 CD 18 21 C1 CD 42 22 21 01 00 C9 21 -@00001A10 00 00 C9 21 06 00 CD 0F 21 21 04 00 39 CD 18 21 -@00001A20 D5 E5 CD 00 15 C1 C1 C1 C9 2A 5D 16 01 06 00 09 -@00001A30 CD 18 21 D5 E5 21 06 00 39 CD 18 21 D5 E5 21 FE -@00001A40 FF 11 FF FF CD 3B 21 D5 E5 2A 5D 16 23 CD 04 21 -@00001A50 CD 2E 21 CD C6 22 CD 3B 21 C9 C5 C5 21 00 00 39 -@00001A60 E5 2A 5D 16 CD 04 21 7C B5 CA 7B 1A 21 08 00 39 -@00001A70 CD 18 21 0E 07 CD 58 21 C3 87 1A 21 08 00 39 CD -@00001A80 18 21 0E 08 CD 58 21 C1 CD 42 22 21 00 00 39 CD -@00001A90 18 21 D5 E5 CD AA 19 C1 C1 CD 36 21 D2 A8 1A 21 -@00001AA0 00 00 CD 2E 21 C1 C1 C9 2A 5D 16 CD 04 21 7C B5 -@00001AB0 CA D8 1A 2A 5F 16 E5 21 08 00 39 CD 18 21 D5 E5 -@00001AC0 21 7F 00 11 00 00 CD 46 21 3E 02 CD 88 22 D1 19 -@00001AD0 E5 CD 65 16 C1 C1 C1 C9 2A 5F 16 E5 21 08 00 39 -@00001AE0 CD 18 21 D5 E5 21 FF 00 11 00 00 CD 46 21 3E 01 -@00001AF0 CD 88 22 D1 19 E5 CD B2 16 C1 CD 2E 21 C1 C1 C9 -@00001B00 C1 C1 C9 2A 5D 16 CD 04 21 7C B5 CA 31 1B 21 02 -@00001B10 00 39 CD 18 21 D5 E5 21 F8 FF 11 FF FF CD 46 21 -@00001B20 D5 E5 21 F8 FF 11 FF FF CD A7 22 21 00 00 CB 15 -@00001B30 C9 21 02 00 39 CD 18 21 D5 E5 21 F8 FF 11 00 00 -@00001B40 CD 46 21 D5 E5 21 F8 FF 11 00 00 CD A7 22 21 00 -@00001B50 00 CB 15 C9 C9 21 06 00 CD 0F 21 21 04 00 39 CD -@00001B60 18 21 C1 CD 42 22 21 06 00 39 CD 0A 21 01 04 00 -@00001B70 09 E5 21 04 00 39 CD 18 21 D5 E5 CD 29 1A C1 C1 -@00001B80 C1 CD 42 22 21 01 00 C9 C5 21 06 00 39 CD 0A 21 -@00001B90 01 04 00 09 CD 18 21 D5 E5 21 0A 00 39 CD 0A 21 -@00001BA0 CD 18 21 CD A1 22 D5 E5 CD 29 1A C1 C1 CD A7 22 -@00001BB0 D2 0A 1C 21 06 00 CD 0F 21 21 08 00 39 CD 0A 21 -@00001BC0 CD 18 21 D5 E5 CD 5A 1A C1 C1 C1 CD 42 22 21 06 -@00001BD0 00 39 CD 0A 21 CD 18 21 D5 E5 CD 03 1B C1 C1 7C -@00001BE0 B5 CA E9 1B 21 FF FF C1 C9 21 06 00 39 CD 0A 21 -@00001BF0 01 04 00 09 E5 21 08 00 39 CD 0A 21 CD 18 21 D5 -@00001C00 E5 CD 29 1A C1 C1 C1 CD 42 22 21 04 00 CD 0F 21 -@00001C10 21 08 00 39 CD 0A 21 01 04 00 09 E5 CD 18 21 CD -@00001C20 A1 22 C1 CD 42 22 CD 9B 22 D5 E5 CD 00 15 C1 C1 -@00001C30 C1 C1 C9 21 00 00 E5 C3 3E 1C E1 23 E5 2B 21 00 -@00001C40 00 CD 0F 21 21 00 01 D1 CD 29 22 D2 6A 1C 2A 63 -@00001C50 16 E5 C1 E1 E5 C5 29 29 29 29 D1 19 E5 21 00 00 -@00001C60 7D CD 4E 22 D1 7D 12 C3 3A 1C C1 C9 3B 21 03 00 -@00001C70 39 CD 0A 21 7E FE E5 C2 7F 1C 21 00 00 33 C9 21 -@00001C80 03 00 39 CD 0A 21 01 0B 00 09 7E E6 0D CA 95 1C -@00001C90 21 00 00 33 C9 21 05 00 CD 0F 21 21 05 00 39 CD -@00001CA0 0A 21 01 0B 00 09 7E E6 10 5F 16 00 21 10 00 CD -@00001CB0 10 23 11 01 00 EB CD 09 23 7D CD 4E 22 D1 7D 12 -@00001CC0 21 00 00 39 36 00 6E 26 00 C3 D5 1C 21 00 00 39 -@00001CD0 34 6E 26 00 2D 21 00 00 39 7E FE 0B CA 0E 1D D2 -@00001CE0 0E 1D 21 05 00 39 CD 0A 21 23 EB 21 00 00 39 6E -@00001CF0 26 00 19 E5 21 05 00 39 34 7E 23 20 01 34 66 6F -@00001D00 2B 6E 26 00 7D CD 4E 22 D1 7D 12 C3 CC 1C 21 05 -@00001D10 00 39 CD 0A 21 01 0C 00 09 E5 21 05 00 39 CD 0A -@00001D20 21 01 0F 00 09 E5 CD B2 16 C1 CD 2E 21 C1 CD 42 -@00001D30 22 2A 5D 16 CD 04 21 7C B5 CA 6B 1D 21 05 00 39 -@00001D40 CD 0A 21 01 0C 00 09 E5 CD 18 21 D5 E5 21 09 00 -@00001D50 39 CD 0A 21 01 09 00 09 E5 CD B2 16 C1 CD 2E 21 -@00001D60 EB 21 00 00 CD F7 22 C1 CD 42 22 21 01 00 33 C9 -@00001D70 3B 21 00 00 39 36 10 6E 26 00 C3 82 1D 21 00 00 -@00001D80 39 35 21 00 00 39 7E A7 CA F1 1D 21 03 00 39 CD -@00001D90 0A 21 7E A7 C2 AA 1D 21 05 00 39 CD 0A 21 CD 0A -@00001DA0 21 E5 D1 AF 12 21 01 00 33 C9 21 05 00 39 CD 0A -@00001DB0 21 5E 23 56 D5 21 05 00 39 CD 0A 21 E5 CD 6C 1C -@00001DC0 C1 C1 7C B5 CA DE 1D 21 05 00 39 CD 0A 21 E5 CD -@00001DD0 0A 21 01 10 00 09 D1 CD 3C 22 01 F0 FF 09 21 03 -@00001DE0 00 39 E5 CD 0A 21 01 20 00 09 D1 CD 3C 22 C3 7D -@00001DF0 1D 21 00 00 33 C9 C5 C5 C5 C5 3B CD 33 1C 21 05 -@00001E00 00 39 E5 2A 5D 16 01 12 00 09 CD 18 21 C1 CD 42 -@00001E10 22 21 03 00 39 EB 2A 63 16 CD 3C 22 21 00 00 39 -@00001E20 E5 2A 5D 16 01 16 00 09 CD 0A 21 D1 7D 12 C3 36 -@00001E30 1E 21 00 00 39 35 21 00 00 39 7E A7 CA 91 1E 2A -@00001E40 61 16 E5 21 07 00 39 CD 18 21 D5 E5 CD 00 15 C1 -@00001E50 C1 C1 CD 36 21 D2 69 1E 21 70 20 E5 CD 5E 0D C1 -@00001E60 21 00 00 33 C1 C1 C1 C1 C9 21 03 00 39 E5 2A 61 -@00001E70 16 E5 CD 70 1D C1 C1 7C B5 C2 91 1E 21 05 00 39 -@00001E80 E5 CD 18 21 CD A1 22 C1 CD 42 22 CD 9B 22 C3 31 -@00001E90 1E 21 03 00 39 CD 0A 21 CD 0A 21 E5 D1 AF 12 2A -@00001EA0 63 16 33 C1 C1 C1 C1 C9 C5 C5 C5 C5 C5 2A 5D 16 -@00001EB0 CD 04 21 CD 36 21 D2 D0 1E 21 0C 00 39 CD 18 21 -@00001EC0 7C B5 B2 B3 C2 D0 1E CD F6 1D C1 C1 C1 C1 C1 C9 -@00001ED0 CD 33 1C 21 06 00 39 E5 21 0E 00 39 CD 18 21 C1 -@00001EE0 CD 42 22 2A 63 16 C1 E5 3B 21 03 00 39 E5 21 09 -@00001EF0 00 39 CD 18 21 D5 E5 CD 29 1A C1 C1 C1 CD 42 22 -@00001F00 21 00 00 39 36 08 6E 26 00 C3 11 1F 21 00 00 39 -@00001F10 35 21 00 00 39 7E A7 CA 64 1F 2A 61 16 E5 21 05 -@00001F20 00 39 CD 18 21 D5 E5 CD 00 15 C1 C1 C1 CD 36 21 -@00001F30 D2 3C 1F 21 0B 00 39 F9 21 00 00 C9 21 01 00 39 -@00001F40 E5 2A 61 16 E5 CD 70 1D C1 C1 7C B5 C2 B9 1F 21 -@00001F50 03 00 39 E5 CD 18 21 CD A1 22 C1 CD 42 22 CD 9B -@00001F60 22 C3 0C 1F 21 07 00 39 E5 21 09 00 39 CD 18 21 -@00001F70 D5 E5 CD 5A 1A C1 C1 C1 CD 42 22 21 07 00 39 CD -@00001F80 18 21 7C B5 B2 B3 C2 92 1F 21 0B 00 39 F9 21 00 -@00001F90 00 C9 33 21 06 00 39 CD 18 21 D5 E5 CD 03 1B C1 -@00001FA0 C1 CD 36 21 DA E8 1E E1 E5 CD 0A 21 E5 D1 AF 12 -@00001FB0 2A 63 16 C1 C1 C1 C1 C1 C9 33 C3 A7 1F 2A 5D 16 -@00001FC0 CD 04 21 7C B5 CA DA 1F 2A 5D 16 01 12 00 09 CD -@00001FD0 18 21 D5 E5 CD A8 1E C1 C1 C9 CD F6 1D C9 C9 45 -@00001FE0 72 72 6F 72 20 6C 6F 61 64 69 6E 67 20 4D 42 52 -@00001FF0 0D 00 57 72 6F 6E 67 20 4D 42 52 0D 00 55 6E 6B -@00002000 6E 6F 77 6E 20 66 69 6C 65 73 79 73 74 65 6D 20 -@00002010 74 79 70 65 20 28 46 41 54 31 36 2F 33 32 20 6F -@00002020 6E 6C 79 29 0D 00 45 72 72 6F 72 20 77 68 69 6C -@00002030 65 20 6C 6F 61 64 69 6E 67 20 62 6F 6F 74 20 73 -@00002040 65 63 74 6F 72 0D 00 57 72 6F 6E 67 20 62 6F 6F -@00002050 74 20 72 65 63 6F 72 64 0D 00 73 65 63 74 6F 72 -@00002060 20 73 69 7A 65 20 21 3D 20 30 78 32 30 30 0D 00 -@00002070 65 72 72 6F 72 20 77 68 69 6C 65 20 72 65 61 64 -@00002080 69 6E 67 0D 00 7D A3 6F 7C A2 67 C9 EB E1 4E 23 -@00002090 46 23 78 B1 28 0C 7E 23 BB 7E 23 20 F1 BA 20 EE -@000020A0 60 69 E9 7C 2F 67 7D 2F 6F C9 7C B5 C8 EB 7C E6 -@000020B0 80 47 28 06 97 95 6F 9F 94 67 7A E6 80 4F 28 06 -@000020C0 97 93 5F 9F 92 57 B7 C5 CD E2 20 C1 EB 79 A8 FC -@000020D0 D7 20 78 EB E6 80 C8 97 93 5F 9F 92 57 C9 7C B5 -@000020E0 C8 EB 06 10 7C 4D 21 00 00 CB 11 17 ED 6A ED 52 -@000020F0 30 01 19 3F 10 F3 CB 11 17 57 59 EB C9 A7 ED 52 -@00002100 3F C8 A7 C9 7E 6F 07 9F 67 C9 7E 23 66 6F C9 39 -@00002110 23 23 7E 23 66 6F E3 E9 5E 23 56 23 7E 23 66 6F -@00002120 EB C9 7A C6 80 47 7C C6 80 B8 C0 7D BB C9 11 00 -@00002130 00 CB 7C C8 1B C9 7C B5 C0 37 C9 DD E1 C1 09 EB -@00002140 C1 ED 4A EB DD E9 DD E1 C1 79 A5 6F 78 A4 67 C1 -@00002150 79 A3 5F 78 A2 57 DD E9 79 B7 C8 47 7B CB 2A 1F -@00002160 CB 1C CB 1D 10 F7 5F C9 7A B3 B4 B5 CA 10 22 F1 -@00002170 E5 D9 D1 C1 21 00 00 D9 C1 21 00 00 F5 79 4A C5 -@00002180 4F CB 78 28 14 D9 79 2F 4F 78 2F 47 78 B1 03 D9 -@00002190 79 2F 4F 78 2F 47 20 01 03 CB 7A 28 14 D9 7B 2F -@000021A0 5F 7A 2F 57 7A B3 13 D9 7B 2F 5F 7A 2F 57 20 01 -@000021B0 13 CD D8 21 C1 78 A9 FC 17 22 78 E6 80 F0 D9 CD -@000021C0 17 22 D9 C9 7A B3 B4 B5 28 46 F1 E5 D9 D1 C1 21 -@000021D0 00 00 D9 C1 21 00 00 F5 3E 20 B7 D9 CB 11 CB 10 -@000021E0 D9 CB 11 CB 10 D9 ED 6A D9 ED 6A D9 ED 52 D9 ED -@000021F0 52 30 05 D9 19 D9 ED 5A 3F 3D C2 DB 21 D9 CB 11 -@00002200 CB 10 D9 CB 11 CB 10 E5 D9 D1 C5 D9 E1 59 50 C9 -@00002210 D9 C1 E1 D1 C5 D9 C9 7D 2F 6F 7C 2F 67 7B 2F 5F -@00002220 7A 2F 57 2C C0 24 C0 13 C9 7C C6 80 47 7A C6 80 -@00002230 B8 C0 7B BD C9 B7 ED 52 37 C0 3F C9 7D 12 13 7C -@00002240 12 C9 7D 02 03 7C 02 03 7B 02 03 7A 02 C9 6F 07 -@00002250 9F 67 C9 7C BA C0 7D BB C9 7A BC C0 7B BD C9 DB -@00002260 DC 2F 26 00 6F C9 EB 1D F8 CB 2C CB 1D C3 67 22 -@00002270 39 23 23 7E 6F 07 9F 67 E3 E9 7A C6 80 47 7C C6 -@00002280 80 B8 3F C0 7D BB 3F C9 B7 C8 47 7B 29 17 CB 12 -@00002290 10 FA 5F C9 EB 1D F8 29 C3 95 22 7C B5 2B C0 1B -@000022A0 C9 2C C0 24 C0 13 C9 DD E1 C1 79 BD C2 C2 22 78 -@000022B0 BC C2 C2 22 C1 79 BB C2 C3 22 78 BA C2 C3 22 37 -@000022C0 DD E9 C1 B7 DD E9 F1 E5 D9 D1 C1 21 00 00 D9 C1 -@000022D0 21 00 00 F5 3E 20 CB 38 CB 19 D9 CB 18 CB 19 30 -@000022E0 05 19 D9 ED 5A D9 CB 23 CB 12 D9 CB 13 CB 12 3D -@000022F0 C2 D6 22 E5 D9 D1 C9 DD E1 C1 79 B5 6F 78 B4 67 -@00002300 C1 79 B3 5F 78 B2 57 DD E9 7D B3 6F 7C B2 67 C9 -@00002310 7D AB 6F 7C AA 67 C9 +@000001C0 23 E5 D5 2B 21 02 00 CD 89 21 21 20 00 D1 CD A3 +@000001D0 22 D2 E3 01 E1 23 E5 2B CD 7E 21 E5 CD 66 0C C1 +@000001E0 C3 BE 01 21 00 3F E5 CD 5A 0C C1 21 D0 00 7D CD +@000001F0 C8 22 E5 CD 66 0C C1 CD 70 0C CD A4 0C 21 03 00 +@00000200 E5 21 00 00 E5 CD C3 0C C1 C1 21 7A 0B E5 CD 46 +@00000210 0D C1 21 03 00 E5 21 01 00 E5 CD C3 0C C1 C1 21 +@00000220 94 0B E5 CD 46 0D C1 21 00 00 D1 C1 E5 D5 CD CC +@00000230 13 CD B0 21 D2 42 02 21 AE 0B E5 CD 46 0D C1 C3 +@00000240 52 02 CD 40 17 CD B0 21 DA 52 02 21 01 00 D1 C1 +@00000250 E5 D5 C1 E1 E5 C5 E5 CD 61 02 C1 C3 F7 01 C1 C1 +@00000260 C9 21 00 00 E5 21 09 00 E5 21 0A 00 E5 CD C3 0C +@00000270 C1 C1 21 04 00 39 CD 84 21 7C B5 CA 84 02 CD 2D +@00000280 03 C3 8C 02 21 CE 0B E5 CD 46 0D C1 C5 21 06 00 +@00000290 E5 21 0A 00 E5 CD C3 0C C1 C1 C1 E1 E5 C5 7C B5 +@000002A0 C2 AE 02 21 E0 0B E5 CD 46 0D C1 C3 B6 02 21 E2 +@000002B0 0B E5 CD 46 0D C1 21 06 00 E5 21 0C 00 E5 CD C3 +@000002C0 0C C1 C1 21 02 00 CD 89 21 21 01 00 D1 CD 77 21 +@000002D0 D2 DE 02 21 E0 0B E5 CD 46 0D C1 C3 E6 02 21 E2 +@000002E0 0B E5 CD 46 0D C1 CD 30 0B C1 E5 CD 06 21 FF 02 +@000002F0 01 00 09 03 10 00 09 03 20 00 00 00 C3 27 03 21 +@00000300 00 00 D1 C1 E5 D5 C3 27 03 C1 E1 E5 C5 7C B5 C2 +@00000310 21 03 21 06 00 39 CD 84 21 7C B5 C4 2D 03 C3 24 +@00000320 03 CD 9B 09 C1 C1 C9 C1 C3 8C 02 C1 C9 21 00 00 +@00000330 E5 21 03 00 E5 C5 C5 C5 21 04 00 39 E5 CD 38 20 +@00000340 D1 CD B6 22 21 04 00 39 CD 84 21 7C B5 C2 5E 03 +@00000350 21 E5 0B E5 CD 46 0D C1 C1 C1 C1 C1 C1 C9 21 04 +@00000360 00 39 CD 84 21 D1 C1 E5 D5 21 04 00 39 CD 84 21 +@00000370 C1 E5 C5 21 04 00 CD 89 21 21 04 00 39 CD 84 21 +@00000380 E5 CD 95 0A C1 C1 CD D9 22 C1 E5 21 0A 00 39 34 +@00000390 7E 23 20 01 34 66 6F 21 0A 00 CD 89 21 21 14 00 +@000003A0 D1 CD 9C 21 D2 B2 03 21 08 00 39 11 03 00 EB CD +@000003B0 B6 22 21 00 00 CD 89 21 21 01 00 D1 CD FF 20 7C +@000003C0 B5 CA DD 03 21 0A 00 CD 89 21 21 0A 00 39 CD 84 +@000003D0 21 D1 CD 24 21 21 00 00 CD 77 21 38 03 C3 3E 04 +@000003E0 21 02 00 CD 89 21 21 08 00 39 CD 84 21 D1 CD AF +@000003F0 22 D2 33 04 21 02 00 39 E5 CD 84 21 01 F0 FF 09 +@00000400 D1 CD B6 22 01 10 00 09 21 08 00 39 36 08 23 36 +@00000410 00 21 02 00 CD 89 21 21 06 00 39 CD 84 21 D1 CD +@00000420 D3 22 D2 33 04 21 04 00 39 E5 2B 2B CD 84 21 D1 +@00000430 CD B6 22 21 0A 00 39 11 00 00 EB CD B6 22 21 00 +@00000440 00 CD 89 21 21 02 00 D1 CD FF 20 7C B5 CA 69 04 +@00000450 21 0A 00 CD 89 21 21 0A 00 39 CD 84 21 D1 CD 24 +@00000460 21 21 00 00 CD 77 21 38 03 C3 DB 04 C1 E1 E5 C5 +@00000470 01 10 00 09 CD 7E 21 7C B5 CA D0 04 21 02 00 39 +@00000480 E5 CD 84 21 01 10 00 09 D1 CD B6 22 01 F0 FF 09 +@00000490 21 08 00 39 36 08 23 36 00 21 02 00 CD 89 21 21 +@000004A0 06 00 39 CD 84 21 D1 EB A7 ED 52 EB 21 10 00 CD +@000004B0 58 21 11 13 00 EB CD 9C 21 D2 D0 04 21 04 00 39 +@000004C0 E5 CD 84 21 01 10 00 09 D1 CD B6 22 01 F0 FF 09 +@000004D0 21 0A 00 39 11 00 00 EB CD B6 22 21 00 00 CD 89 +@000004E0 21 21 04 00 D1 CD FF 20 7C B5 CA 06 05 21 0A 00 +@000004F0 CD 89 21 21 0A 00 39 CD 84 21 D1 CD 24 21 21 00 +@00000500 00 CD 77 21 38 03 C3 95 05 21 02 00 CD 89 21 21 +@00000510 08 00 39 CD 84 21 D1 CD AF 22 D2 34 05 21 02 00 +@00000520 CD 89 21 21 08 00 39 CD 84 21 01 40 00 09 D1 CD +@00000530 CD 22 38 03 C3 71 05 C1 E1 E5 C5 01 B0 FF 09 D1 +@00000540 C1 E5 D5 21 08 00 39 36 08 23 36 00 21 02 00 CD +@00000550 89 21 21 06 00 39 CD 84 21 D1 CD D3 22 D2 6E 05 +@00000560 21 04 00 39 E5 2B 2B CD 84 21 D1 CD B6 22 C3 8A +@00000570 05 21 06 00 39 CD 84 21 D1 C1 E5 D5 21 04 00 39 +@00000580 E5 2B 2B CD 84 21 D1 CD B6 22 21 0A 00 39 11 00 +@00000590 00 EB CD B6 22 21 00 00 CD 89 21 21 08 00 D1 CD +@000005A0 FF 20 7C B5 CA C0 05 21 0A 00 CD 89 21 21 0A 00 +@000005B0 39 CD 84 21 D1 CD 24 21 21 00 00 CD 77 21 38 03 +@000005C0 C3 23 07 C1 E1 E5 C5 01 50 00 09 CD 7E 21 11 00 +@000005D0 00 EB CD AF 22 D2 2B 06 C1 E1 E5 C5 01 40 00 09 +@000005E0 CD 7E 21 11 00 00 EB CD AF 22 D2 2B 06 C1 E1 E5 +@000005F0 C5 01 30 00 09 CD 7E 21 11 00 00 EB CD AF 22 D2 +@00000600 2B 06 C1 E1 E5 C5 01 20 00 09 CD 7E 21 11 00 00 +@00000610 EB CD AF 22 D2 2B 06 C1 E1 E5 C5 01 10 00 09 CD +@00000620 7E 21 11 00 00 EB CD AF 22 38 03 C3 79 06 C1 E1 +@00000630 E5 C5 01 50 00 09 D1 C1 E5 D5 21 08 00 39 36 08 +@00000640 23 36 00 21 02 00 CD 89 21 21 06 00 39 CD 84 21 +@00000650 D1 EB A7 ED 52 EB 21 10 00 CD 58 21 11 13 00 EB +@00000660 CD 9C 21 D2 76 06 21 04 00 39 E5 CD 84 21 01 50 +@00000670 00 09 D1 CD B6 22 C3 18 07 C1 E1 E5 C5 01 10 00 +@00000680 09 CD 7E 21 7C B5 C2 94 06 C1 E1 E5 C5 D1 C1 E5 +@00000690 D5 C3 0D 07 C1 E1 E5 C5 01 20 00 09 CD 7E 21 7C +@000006A0 B5 C2 B3 06 C1 E1 E5 C5 01 10 00 09 D1 C1 E5 D5 +@000006B0 C3 0D 07 C1 E1 E5 C5 01 30 00 09 CD 7E 21 7C B5 +@000006C0 C2 D2 06 C1 E1 E5 C5 01 20 00 09 D1 C1 E5 D5 C3 +@000006D0 0D 07 C1 E1 E5 C5 01 40 00 09 CD 7E 21 7C B5 C2 +@000006E0 F1 06 C1 E1 E5 C5 01 30 00 09 D1 C1 E5 D5 C3 0D +@000006F0 07 C1 E1 E5 C5 01 50 00 09 CD 7E 21 7C B5 C2 0D +@00000700 07 C1 E1 E5 C5 01 40 00 09 D1 C1 E5 D5 21 08 00 +@00000710 39 11 08 00 EB CD B6 22 21 0A 00 39 11 00 00 EB +@00000720 CD B6 22 21 00 00 CD 89 21 21 30 00 D1 CD FF 20 +@00000730 7C B5 CA 4E 07 21 0A 00 CD 89 21 21 0A 00 39 CD +@00000740 84 21 D1 CD 24 21 21 00 00 CD 77 21 38 03 C3 E0 +@00000750 07 C1 E1 E5 C5 CD 7E 21 11 10 00 EB CD FF 20 7C +@00000760 B5 C2 C3 07 21 06 00 39 E5 21 04 00 39 CD 84 21 +@00000770 01 0C 00 09 CD 92 21 D5 E5 CD 23 1F C1 C1 D1 CD +@00000780 B6 22 21 06 00 39 CD 84 21 7C B5 C2 9B 07 21 02 +@00000790 0C E5 CD 46 0D 21 0E 00 39 F9 C9 21 04 00 39 EB +@000007A0 21 06 00 39 CD 84 21 CD B6 22 21 06 00 39 CD 84 +@000007B0 21 D1 C1 E5 D5 21 08 00 39 11 0C 00 EB CD B6 22 +@000007C0 C3 D5 07 C1 E1 E5 C5 E5 CD EA 07 C1 CD 9B 09 21 +@000007D0 0C 00 39 F9 C9 21 0A 00 39 11 00 00 EB CD B6 22 +@000007E0 C1 C3 72 03 C1 C1 C1 C1 C1 C9 21 F2 FF 39 F9 CD +@000007F0 A4 0C 21 20 0C E5 CD 46 0D C1 21 04 00 39 11 00 +@00000800 00 EB CD B6 22 C3 15 08 21 04 00 39 34 7E 23 20 +@00000810 01 34 66 6F 2B 21 04 00 CD 89 21 21 08 00 D1 CD +@00000820 A3 22 D2 41 08 21 10 00 39 CD 84 21 23 EB 21 04 +@00000830 00 39 CD 84 21 19 CD 7E 21 E5 CD 8B 0C C1 C3 08 +@00000840 08 21 2E 00 7D CD C8 22 E5 CD 8B 0C C1 21 04 00 +@00000850 39 11 08 00 EB CD B6 22 C3 68 08 21 04 00 39 34 +@00000860 7E 23 20 01 34 66 6F 2B 21 04 00 CD 89 21 21 0B +@00000870 00 D1 CD A3 22 D2 94 08 21 10 00 39 CD 84 21 23 +@00000880 EB 21 04 00 39 CD 84 21 19 CD 7E 21 E5 CD 8B 0C +@00000890 C1 C3 5B 08 21 29 0C E5 CD 46 0D C1 21 06 00 39 +@000008A0 E5 21 12 00 39 CD 84 21 01 0C 00 09 CD 92 21 D5 +@000008B0 E5 CD D0 1B C1 C1 C1 21 00 00 39 E5 21 00 00 CD +@000008C0 A8 21 C1 CD BC 22 C5 21 02 00 39 CD 92 21 D5 E5 +@000008D0 21 FF 3F 11 00 00 CD C0 21 7C B5 B2 B3 C2 FE 08 +@000008E0 21 FF FF E5 21 04 00 39 CD 92 21 0E 0E CD D2 21 +@000008F0 D5 E5 21 FF 00 11 00 00 CD C0 21 D1 7D 12 21 02 +@00000900 00 39 CD 92 21 D5 E5 21 FF 3F 11 00 00 CD C0 21 +@00000910 11 00 80 19 C1 E5 21 08 00 39 E5 C1 E1 E5 C5 E5 +@00000920 CD 03 1C C1 C1 C1 E5 7C B5 C2 37 09 21 2C 0C E5 +@00000930 CD 46 0D C1 C3 91 09 21 00 00 CD 89 21 21 FF FF +@00000940 D1 CD 77 21 D2 58 09 21 00 00 E5 21 02 00 E5 CD +@00000950 C3 0C 21 14 00 39 F9 C9 21 02 00 39 E5 CD 92 21 +@00000960 D5 E5 21 00 02 11 00 00 CD B5 21 C1 CD BC 22 21 +@00000970 02 00 39 CD 92 21 D5 E5 21 00 40 11 00 00 CD E2 +@00000980 21 D9 7C B5 B2 B3 C2 91 09 21 46 0C E5 CD 46 0D +@00000990 C1 C1 C3 C6 08 21 0E 00 39 F9 C9 21 FD FF 36 00 +@000009A0 21 FE FF 36 01 21 FF FF 36 02 6E 26 00 D3 00 C9 +@000009B0 C5 C5 21 06 00 39 CD 84 21 CD 7E 21 11 10 00 EB +@000009C0 CD FF 20 11 00 00 EB CD 77 21 21 00 00 CB 15 D1 +@000009D0 C1 E5 D5 CD B0 21 D2 E8 09 21 20 00 7D CD C8 22 +@000009E0 E5 CD 8B 0C C1 C3 F4 09 21 5B 00 7D CD C8 22 E5 +@000009F0 CD 8B 0C C1 21 00 00 C1 E5 C3 00 0A E1 23 E5 2B +@00000A00 21 00 00 CD 89 21 21 08 00 D1 CD A3 22 D2 27 0A +@00000A10 21 06 00 39 CD 84 21 23 EB E1 E5 19 CD 7E 21 E5 +@00000A20 CD 8B 0C C1 C3 FC 09 21 2E 00 7D CD C8 22 E5 CD +@00000A30 8B 0C C1 21 00 00 C1 E5 C3 3F 0A E1 23 E5 2B 21 +@00000A40 00 00 CD 89 21 21 03 00 D1 CD A3 22 D2 6D 0A 21 +@00000A50 06 00 39 CD 84 21 23 E5 C1 E1 E5 C5 11 08 00 19 +@00000A60 D1 19 CD 7E 21 E5 CD 8B 0C C1 C3 3B 0A C1 E1 E5 +@00000A70 C5 CD B0 21 D2 86 0A 21 20 00 7D CD C8 22 E5 CD +@00000A80 8B 0C C1 C3 92 0A 21 5D 00 7D CD C8 22 E5 CD 8B +@00000A90 0C C1 C1 C1 C9 21 00 00 E5 C3 A0 0A E1 23 E5 2B +@00000AA0 21 00 00 CD 89 21 21 14 00 D1 CD A3 22 D2 2E 0B +@00000AB0 21 06 00 E5 C1 E1 E5 C5 11 04 00 19 E5 CD C3 0C +@00000AC0 C1 C1 21 06 00 CD 89 21 C1 E1 E5 C5 29 29 29 29 +@00000AD0 D1 19 EB 21 04 00 39 CD 84 21 CD 77 21 D2 EB 0A +@00000AE0 21 48 0C E5 CD 46 0D C1 C3 F3 0A 21 E2 0B E5 CD +@00000AF0 46 0D C1 21 06 00 CD 89 21 C1 E1 E5 C5 29 29 29 +@00000B00 29 D1 19 CD 7E 21 7C B5 CA 23 0B 21 06 00 CD 89 +@00000B10 21 C1 E1 E5 C5 29 29 29 29 D1 19 E5 CD B0 09 C1 +@00000B20 C3 2B 0B 21 4B 0C E5 CD 46 0D C1 C3 9C 0A C1 C9 +@00000B30 C5 C5 CD D9 22 D1 C1 E5 D5 CD D9 22 C1 E5 21 00 +@00000B40 00 CD 89 21 21 04 00 39 CD 84 21 CD 1D 21 D1 CD +@00000B50 FF 20 7C B5 CA 6E 0B 21 00 00 CD 89 21 21 04 00 +@00000B60 39 CD 84 21 CD 1D 21 D1 CD FF 20 C1 C1 C9 E1 E5 +@00000B70 D1 C1 E5 D5 C3 39 0B C1 C1 C9 4D 41 53 54 45 52 +@00000B80 20 53 59 53 54 45 4D 20 52 4F 4D 20 4C 4F 41 44 +@00000B90 45 52 0D 00 2D 2D 2D 2D 2D 2D 2D 2D 2D 2D 2D 2D +@00000BA0 2D 2D 2D 2D 2D 2D 2D 2D 2D 2D 2D 2D 0D 00 45 72 +@00000BB0 72 6F 72 20 69 6E 69 74 69 61 6C 69 7A 69 6E 67 +@00000BC0 20 53 44 2F 4D 4D 43 20 63 61 72 64 0D 00 72 65 +@00000BD0 74 72 79 20 53 44 2F 4D 4D 43 20 63 61 72 64 00 +@00000BE0 3E 00 20 20 00 45 72 72 6F 72 20 72 65 61 64 69 +@00000BF0 6E 67 20 72 6F 6F 74 20 64 69 72 65 63 74 6F 72 +@00000C00 79 00 45 72 72 6F 72 20 77 68 69 6C 65 20 72 65 +@00000C10 61 64 69 6E 67 20 64 69 72 65 63 74 6F 72 79 00 +@00000C20 4C 6F 61 64 69 6E 67 20 00 0D 0D 00 45 72 72 6F +@00000C30 72 20 77 68 69 6C 65 20 72 65 61 64 69 6E 67 20 +@00000C40 66 69 6C 65 0D 00 2E 00 3E 20 00 20 20 20 20 20 +@00000C50 20 20 20 20 20 20 20 20 20 00 21 02 00 39 7E D3 +@00000C60 BF 23 7E D3 BF C9 21 02 00 39 7E D3 BE C9 00 C0 +@00000C70 21 00 00 E5 E5 CD C3 0C C1 C1 2A 6E 0C 23 23 E5 +@00000C80 21 00 00 7D CD C8 22 D1 7D 12 C9 21 02 00 39 7E +@00000C90 D3 BE 3E 00 D3 BE 2A 6E 0C E5 CD 84 21 23 23 D1 +@00000CA0 CD B6 22 C9 3E 00 D3 BF 3E 38 D3 BF AF 2E 07 06 +@00000CB0 00 D3 BE 10 FC 2D 20 F7 21 00 00 E5 E5 CD C3 0C +@00000CC0 C1 C1 C9 21 02 00 CD 89 21 21 00 00 CD 89 21 C1 +@00000CD0 E1 E5 C5 D1 19 C1 E5 21 00 00 CD 89 21 C1 E1 E5 +@00000CE0 C5 D1 19 C1 E5 21 00 00 CD 89 21 C1 E1 E5 C5 D1 +@00000CF0 19 C1 E5 21 00 00 CD 89 21 C1 E1 E5 C5 D1 19 C1 +@00000D00 E5 21 00 00 CD 89 21 C1 E1 E5 C5 D1 19 C1 E5 21 +@00000D10 00 00 CD 89 21 21 08 00 39 CD 84 21 D1 19 C1 E5 +@00000D20 21 00 00 CD 89 21 C1 E1 E5 C5 D1 19 C1 E5 01 00 +@00000D30 38 09 C1 E5 2A 6E 0C EB E1 E5 CD B6 22 E1 E5 E5 +@00000D40 CD 5A 0C C1 C1 C9 C5 3B 2A 6E 0C CD 84 21 E5 CD +@00000D50 5A 0C C1 21 00 00 39 E5 21 07 00 39 34 7E 23 20 +@00000D60 01 34 66 6F 2B CD 7E 21 7D CD C8 22 D1 7D 12 21 +@00000D70 00 00 39 CD 7E 21 7D FE 00 CA 84 0D FE 0D CA 87 +@00000D80 0D C3 BD 0D 33 C1 C9 21 01 00 39 E5 2A 6E 0C 5E +@00000D90 23 56 21 C0 3F CD FF 20 01 40 00 09 D1 CD B6 22 +@00000DA0 ED 5B 6E 0C 21 01 00 39 CD 84 21 CD B6 22 21 01 +@00000DB0 00 39 CD 84 21 E5 CD 5A 0C C1 C3 C9 0D 21 00 00 +@00000DC0 39 CD 7E 21 E5 CD 8B 0C C1 C3 53 0D 33 C1 C9 21 +@00000DD0 02 00 CD 89 21 21 0A 00 D1 CD A3 22 D2 F3 0D C1 +@00000DE0 E1 E5 C5 11 30 00 19 7D CD C8 22 E5 CD 8B 0C C1 +@00000DF0 C3 08 0E C1 E1 E5 C5 01 F6 FF 09 11 61 00 19 7D +@00000E00 CD C8 22 E5 CD 8B 0C C1 C9 21 02 00 CD EA 22 21 +@00000E10 04 00 D1 CD E0 22 11 0F 00 EB CD FF 20 E5 CD CF +@00000E20 0D C1 21 02 00 CD EA 22 21 0F 00 D1 CD FF 20 E5 +@00000E30 CD CF 0D C1 C9 21 02 00 CD 89 21 21 0C 00 D1 CD +@00000E40 E0 22 11 0F 00 EB CD FF 20 E5 CD CF 0D C1 21 02 +@00000E50 00 CD 89 21 21 08 00 D1 CD E0 22 11 0F 00 EB CD +@00000E60 FF 20 E5 CD CF 0D C1 21 02 00 CD 89 21 21 04 00 +@00000E70 D1 CD E0 22 11 0F 00 EB CD FF 20 E5 CD CF 0D C1 +@00000E80 21 02 00 CD 89 21 21 0F 00 D1 CD FF 20 E5 CD CF +@00000E90 0D C1 C9 21 02 00 39 CD 92 21 0E 1C CD D2 21 D5 +@00000EA0 E5 21 0F 00 11 00 00 CD C0 21 E5 CD CF 0D C1 21 +@00000EB0 02 00 39 CD 92 21 0E 18 CD D2 21 D5 E5 21 0F 00 +@00000EC0 11 00 00 CD C0 21 E5 CD CF 0D C1 21 02 00 39 CD +@00000ED0 92 21 0E 14 CD D2 21 D5 E5 21 0F 00 11 00 00 CD +@00000EE0 C0 21 E5 CD CF 0D C1 21 02 00 39 CD 92 21 0E 10 +@00000EF0 CD D2 21 D5 E5 21 0F 00 11 00 00 CD C0 21 E5 CD +@00000F00 CF 0D C1 21 02 00 39 CD 92 21 0E 0C CD D2 21 D5 +@00000F10 E5 21 0F 00 11 00 00 CD C0 21 E5 CD CF 0D C1 21 +@00000F20 02 00 39 CD 92 21 0E 08 CD D2 21 D5 E5 21 0F 00 +@00000F30 11 00 00 CD C0 21 E5 CD CF 0D C1 21 02 00 39 CD +@00000F40 92 21 0E 04 CD D2 21 D5 E5 21 0F 00 11 00 00 CD +@00000F50 C0 21 E5 CD CF 0D C1 21 02 00 39 CD 92 21 D5 E5 +@00000F60 21 0F 00 11 00 00 CD C0 21 E5 CD CF 0D C1 C9 80 +@00000F70 C0 2A 6F 0F E5 21 04 00 39 CD 7E 21 D1 7D 12 C9 +@00000F80 21 02 00 39 7E E6 7F F6 80 D3 C0 C9 DB 00 E6 7F +@00000F90 D3 C0 C9 DB 00 F6 80 D3 C0 C9 DB 00 E6 80 28 FA +@00000FA0 C9 21 02 00 39 7E D3 C1 CD 9A 0F C9 21 FF 00 7D +@00000FB0 CD C8 22 E5 CD A1 0F C1 C9 CD AC 0F DB 01 6F 26 +@00000FC0 00 C9 C5 21 00 00 39 E5 21 0A 00 7D CD C8 22 D1 +@00000FD0 7D 12 C3 E1 0F 21 00 00 39 E5 CD 7E 21 2B D1 7D +@00000FE0 12 21 00 00 39 CD 7E 21 AF B4 FA 14 10 B5 CA 14 +@00000FF0 10 21 01 00 39 E5 CD B9 0F 7D CD C8 22 D1 7D 12 +@00001000 21 01 00 CD EA 22 21 80 00 D1 CD FF 20 7C B5 28 +@00001010 03 C3 D5 0F 21 01 00 39 CD 7E 21 26 00 C1 C9 C5 +@00001020 21 00 00 39 E5 21 0A 00 7D CD C8 22 D1 7D 12 C3 +@00001030 3E 10 21 00 00 39 E5 CD 7E 21 2B D1 7D 12 21 00 +@00001040 00 39 CD 7E 21 AF B4 FA A2 10 B5 CA A2 10 21 01 +@00001050 00 39 E5 CD B9 0F 7D CD C8 22 D1 7D 12 21 01 00 +@00001060 CD EA 22 21 01 00 D1 CD 77 21 DA 9C 10 21 01 00 +@00001070 CD EA 22 21 C0 00 D1 CD 77 21 DA 9C 10 21 01 00 +@00001080 CD EA 22 21 80 00 D1 CD 77 21 DA 9C 10 21 01 00 +@00001090 CD EA 22 21 20 00 D1 CD 77 21 30 03 C3 A2 10 C3 +@000010A0 32 10 21 01 00 39 CD 7E 21 26 00 C1 C9 C5 CD B9 +@000010B0 0F 21 01 00 39 E5 21 0A 00 7D CD C8 22 D1 7D 12 +@000010C0 C3 CF 10 21 01 00 39 E5 CD 7E 21 2B D1 7D 12 21 +@000010D0 01 00 39 CD 7E 21 AF B4 FA 00 11 B5 CA 00 11 21 +@000010E0 00 00 39 E5 CD B9 0F 7D CD C8 22 D1 7D 12 21 00 +@000010F0 00 CD EA 22 21 FF 00 D1 CD 77 21 38 03 C3 C3 10 +@00001100 21 00 00 39 CD 7E 21 26 00 C1 C9 3B CD AD 10 21 +@00001110 40 00 7D CD C8 22 E5 CD A1 0F C1 21 00 00 7D CD +@00001120 C8 22 E5 CD A1 0F C1 21 00 00 7D CD C8 22 E5 CD +@00001130 A1 0F C1 21 00 00 7D CD C8 22 E5 CD A1 0F C1 21 +@00001140 00 00 7D CD C8 22 E5 CD A1 0F C1 21 95 00 7D CD +@00001150 C8 22 E5 CD A1 0F C1 21 00 00 39 E5 CD C2 0F 7D +@00001160 CD C8 22 D1 7D 12 21 00 00 39 CD 7E 21 26 00 33 +@00001170 C9 3B CD AC 0F CD AD 10 21 41 00 7D CD C8 22 E5 +@00001180 CD A1 0F C1 21 00 00 7D CD C8 22 E5 CD A1 0F C1 +@00001190 21 00 00 7D CD C8 22 E5 CD A1 0F C1 21 00 00 7D +@000011A0 CD C8 22 E5 CD A1 0F C1 21 00 00 7D CD C8 22 E5 +@000011B0 CD A1 0F C1 21 FF 00 7D CD C8 22 E5 CD A1 0F C1 +@000011C0 21 00 00 39 E5 CD C2 0F 7D CD C8 22 D1 7D 12 21 +@000011D0 00 00 39 CD 7E 21 26 00 33 C9 3B CD AD 10 21 48 +@000011E0 00 7D CD C8 22 E5 CD A1 0F C1 21 00 00 7D CD C8 +@000011F0 22 E5 CD A1 0F C1 21 00 00 7D CD C8 22 E5 CD A1 +@00001200 0F C1 21 01 00 7D CD C8 22 E5 CD A1 0F C1 21 AA +@00001210 00 7D CD C8 22 E5 CD A1 0F C1 21 87 00 7D CD C8 +@00001220 22 E5 CD A1 0F C1 21 00 00 39 E5 CD C2 0F 7D CD +@00001230 C8 22 D1 7D 12 CD AC 0F CD AC 0F CD AC 0F CD AC +@00001240 0F CD AC 0F 21 00 00 39 CD 7E 21 26 00 33 C9 3B +@00001250 21 77 00 7D CD C8 22 E5 CD A1 0F C1 21 00 00 7D +@00001260 CD C8 22 E5 CD A1 0F C1 21 00 00 7D CD C8 22 E5 +@00001270 CD A1 0F C1 21 00 00 7D CD C8 22 E5 CD A1 0F C1 +@00001280 21 00 00 7D CD C8 22 E5 CD A1 0F C1 21 FF 00 7D +@00001290 CD C8 22 E5 CD A1 0F C1 21 00 00 39 E5 CD C2 0F +@000012A0 7D CD C8 22 D1 7D 12 21 00 00 CD EA 22 21 01 00 +@000012B0 D1 CD 9C 21 D2 BC 12 21 FF 00 33 C9 CD AD 10 21 +@000012C0 69 00 7D CD C8 22 E5 CD A1 0F C1 21 03 00 39 6E +@000012D0 26 00 E5 CD A1 0F C1 21 00 00 7D CD C8 22 E5 CD +@000012E0 A1 0F C1 21 00 00 7D CD C8 22 E5 CD A1 0F C1 21 +@000012F0 00 00 7D CD C8 22 E5 CD A1 0F C1 21 FF 00 7D CD +@00001300 C8 22 E5 CD A1 0F C1 21 00 00 39 E5 CD C2 0F 7D +@00001310 CD C8 22 D1 7D 12 CD AC 0F CD AC 0F 21 00 00 39 +@00001320 CD 7E 21 26 00 33 C9 C5 CD AD 10 21 7A 00 7D CD +@00001330 C8 22 E5 CD A1 0F C1 21 00 00 7D CD C8 22 E5 CD +@00001340 A1 0F C1 21 00 00 7D CD C8 22 E5 CD A1 0F C1 21 +@00001350 00 00 7D CD C8 22 E5 CD A1 0F C1 21 00 00 7D CD +@00001360 C8 22 E5 CD A1 0F C1 21 FF 00 7D CD C8 22 E5 CD +@00001370 A1 0F C1 21 01 00 39 E5 CD C2 0F 7D CD C8 22 D1 +@00001380 7D 12 21 00 00 39 E5 CD B9 0F D1 7D 12 21 00 00 +@00001390 39 7E FE C0 C2 A6 13 21 01 00 7D CD C8 22 E5 CD +@000013A0 71 0F C1 C3 B2 13 21 00 00 7D CD C8 22 E5 CD 71 +@000013B0 0F C1 CD AC 0F CD AC 0F CD AC 0F CD AC 0F CD AC +@000013C0 0F 21 01 00 39 CD 7E 21 26 00 C1 C9 3B 21 7F 00 +@000013D0 7D CD C8 22 E5 CD 80 0F C1 CD 8C 0F 21 00 00 39 +@000013E0 36 10 6E 26 00 C3 ED 13 21 00 00 39 35 21 00 00 +@000013F0 39 7E A7 CA 05 14 21 FF 00 7D CD C8 22 E5 CD A1 +@00001400 0F C1 C3 E8 13 CD 93 0F 21 FF 00 7D CD C8 22 E5 +@00001410 CD A1 0F C1 21 FF 00 7D CD C8 22 E5 CD A1 0F C1 +@00001420 CD 8C 0F 21 00 00 39 36 FF 6E 26 00 CD 0B 11 11 +@00001430 01 00 EB CD AF 22 D2 60 14 21 00 00 39 6E 26 00 +@00001440 7C B5 C2 4D 14 CD 93 0F 21 00 00 33 C9 21 00 00 +@00001450 39 E5 21 02 00 39 6E 26 00 2B D1 7D 12 C3 2C 14 +@00001460 CD DA 11 2B 7C B5 C2 C0 14 CD AC 0F 21 00 00 39 +@00001470 36 FF 21 40 00 E5 CD 4F 12 C1 11 01 00 EB CD FF +@00001480 20 7C B5 CA AD 14 21 00 00 39 6E 26 00 7C B5 C2 +@00001490 9A 14 CD 93 0F 21 00 00 33 C9 21 00 00 39 E5 21 +@000014A0 02 00 39 6E 26 00 2B D1 7D 12 C3 72 14 CD 27 13 +@000014B0 7C B5 CA BD 14 CD 93 0F 21 00 00 33 C9 C3 3E 15 +@000014C0 21 00 00 E5 CD 4F 12 C1 11 01 00 EB CD F4 22 D2 +@000014D0 16 15 21 00 00 39 36 FF 21 00 00 E5 CD 4F 12 C1 +@000014E0 11 01 00 EB CD FF 20 7C B5 CA 13 15 21 00 00 39 +@000014F0 6E 26 00 7C B5 C2 00 15 CD 93 0F 21 00 00 33 C9 +@00001500 21 00 00 39 E5 21 02 00 39 6E 26 00 2B D1 7D 12 +@00001510 C3 D8 14 C3 3E 15 21 00 00 39 36 FF 6E 26 00 CD +@00001520 71 11 7C B5 CA 3E 15 21 00 00 39 6E 26 00 7C B5 +@00001530 C2 3B 15 CD 93 0F 21 00 00 33 C9 C3 1F 15 CD 93 +@00001540 0F 21 00 00 7D CD C8 22 E5 CD 80 0F C1 21 01 00 +@00001550 33 C9 21 02 00 39 5E 23 56 EB 01 02 00 3E FF D3 +@00001560 C1 DB 00 E6 80 28 FA DB 01 77 23 10 F0 0D 20 ED +@00001570 C9 C5 C5 C5 21 02 00 39 E5 21 0A 00 39 CD 92 21 +@00001580 3E 09 CD 02 23 C1 CD BC 22 2A 6F 0F 7E FE 01 C2 +@00001590 A2 15 21 02 00 39 E5 21 0A 00 39 CD 92 21 C1 CD +@000015A0 BC 22 CD AD 10 CD 8C 0F 21 51 00 7D CD C8 22 E5 +@000015B0 CD A1 0F C1 21 02 00 39 CD 92 21 0E 18 CD D2 21 +@000015C0 D5 E5 21 FF 00 11 00 00 CD C0 21 7D CD C8 22 E5 +@000015D0 CD A1 0F C1 21 02 00 39 CD 92 21 0E 10 CD D2 21 +@000015E0 D5 E5 21 FF 00 11 00 00 CD C0 21 7D CD C8 22 E5 +@000015F0 CD A1 0F C1 21 02 00 39 CD 92 21 0E 08 CD D2 21 +@00001600 D5 E5 21 FF 00 11 00 00 CD C0 21 7D CD C8 22 E5 +@00001610 CD A1 0F C1 21 02 00 39 CD 92 21 D5 E5 21 FF 00 +@00001620 11 00 00 CD C0 21 7D CD C8 22 E5 CD A1 0F C1 21 +@00001630 FF 00 7D CD C8 22 E5 CD A1 0F C1 21 01 00 39 E5 +@00001640 CD C2 0F 7D CD C8 22 D1 7D 12 21 01 00 CD EA 22 +@00001650 21 80 00 D1 CD FF 20 7C B5 CA 66 16 CD 93 0F 21 +@00001660 00 00 C1 C1 C1 C9 21 00 00 39 E5 21 FF 00 7D CD +@00001670 C8 22 D1 7D 12 CD B9 0F 11 FE 00 EB CD AF 22 D2 +@00001680 AF 16 21 00 00 39 CD 7E 21 7C B5 C2 98 16 CD 93 +@00001690 0F 21 00 00 C1 C1 C1 C9 21 00 00 39 E5 21 02 00 +@000016A0 39 CD 7E 21 2B 7D CD C8 22 D1 7D 12 C3 75 16 21 +@000016B0 0C 00 39 CD 84 21 E5 CD 52 15 C1 CD AC 0F CD AC +@000016C0 0F CD AC 0F CD 93 0F 21 01 00 C1 C1 C1 C9 10 C0 +@000016D0 00 C1 00 C3 00 C5 C1 E1 E5 C5 6E 26 00 E5 21 04 +@000016E0 00 39 CD 84 21 23 5E 16 00 2E 08 CD 0E 23 D1 CD +@000016F0 83 23 E5 21 04 00 39 CD 84 21 23 23 5E 16 00 2E +@00001700 10 CD 0E 23 D1 CD 83 23 E5 21 04 00 39 CD 84 21 +@00001710 23 23 23 5E 16 00 2E 18 CD 0E 23 D1 CD 83 23 CD +@00001720 A8 21 C9 C1 E1 E5 C5 6E 26 00 E5 21 04 00 39 CD +@00001730 84 21 23 5E 16 00 2E 08 CD 0E 23 D1 CD 83 23 C9 +@00001740 C5 C5 21 00 00 39 E5 21 00 00 CD A8 21 C1 CD BC +@00001750 22 2A D2 16 E5 21 02 00 39 CD 92 21 D5 E5 CD 71 +@00001760 15 C1 C1 C1 CD B0 21 D2 78 17 21 5A 20 E5 CD 46 +@00001770 0D C1 21 00 00 C1 C1 C9 2A D2 16 01 FE 01 09 7E +@00001780 FE 55 C2 92 17 2A D2 16 01 FF 01 09 7E FE AA CA +@00001790 A0 17 21 6D 20 E5 CD 46 0D C1 21 00 00 C1 C1 C9 +@000017A0 2A D2 16 01 C2 01 09 6E 26 00 7D FE 06 CA C2 17 +@000017B0 FE 04 CA C2 17 FE 0B CA D3 17 FE 0C CA D3 17 C3 +@000017C0 E4 17 2A CE 16 E5 21 00 00 7D CD C8 22 D1 7D 12 +@000017D0 C3 F2 17 2A CE 16 E5 21 01 00 7D CD C8 22 D1 7D +@000017E0 12 C3 F2 17 21 78 20 E5 CD 46 0D C1 21 00 00 C1 +@000017F0 C1 C9 21 00 00 39 E5 2A D2 16 01 C6 01 09 E5 CD +@00001800 D6 16 C1 C1 CD BC 22 2A D2 16 E5 21 02 00 39 CD +@00001810 92 21 D5 E5 CD 71 15 C1 C1 C1 CD B0 21 D2 2E 18 +@00001820 21 A0 20 E5 CD 46 0D C1 21 00 00 C1 C1 C9 2A D2 +@00001830 16 01 FE 01 09 7E FE 55 C2 48 18 2A D2 16 01 FF +@00001840 01 09 7E FE AA CA 56 18 21 C1 20 E5 CD 46 0D C1 +@00001850 21 00 00 C1 C1 C9 2A D2 16 01 0B 00 09 7E FE 00 +@00001860 C2 70 18 2A D2 16 01 0C 00 09 7E FE 02 CA 9C 18 +@00001870 21 D4 20 E5 CD 46 0D C1 2A D2 16 01 0B 00 09 6E +@00001880 26 00 E5 CD 09 0E C1 2A D2 16 01 0C 00 09 6E 26 +@00001890 00 E5 CD 09 0E C1 21 00 00 C1 C1 C9 2A CE 16 23 +@000018A0 E5 2A D2 16 01 0D 00 09 6E 26 00 7D CD C8 22 D1 +@000018B0 7D 12 2A CE 16 23 23 E5 21 02 00 39 CD 92 21 D5 +@000018C0 E5 2A D2 16 01 0E 00 09 E5 CD 23 17 C1 CD A8 21 +@000018D0 CD B5 21 C1 CD BC 22 2A CE 16 CD 7E 21 7C B5 CA +@000018E0 E8 18 CD F1 18 C3 EB 18 CD 77 19 21 01 00 C1 C1 +@000018F0 C9 C5 C5 3B 21 04 00 39 E5 2A D2 16 01 10 00 09 +@00001900 7E D1 12 21 00 00 39 E5 2A D2 16 01 24 00 09 E5 +@00001910 CD D6 16 C1 C1 CD BC 22 2A CE 16 01 06 00 09 E5 +@00001920 2A CE 16 23 23 CD 92 21 C1 CD BC 22 C3 34 19 21 +@00001930 04 00 39 35 21 04 00 39 7E A7 CA 5B 19 2A CE 16 +@00001940 01 06 00 09 E5 CD 92 21 D5 E5 21 06 00 39 CD 92 +@00001950 21 CD B5 21 C1 CD BC 22 C3 2F 19 2A CE 16 01 12 +@00001960 00 09 E5 2A D2 16 01 2C 00 09 E5 CD D6 16 C1 C1 +@00001970 CD BC 22 33 C1 C1 C9 C5 3B 21 02 00 39 E5 2A D2 +@00001980 16 01 10 00 09 7E D1 12 2A D2 16 01 16 00 09 E5 +@00001990 CD 23 17 C1 C1 E5 2A CE 16 01 12 00 09 E5 2A CE +@000019A0 16 23 23 CD 92 21 C1 CD BC 22 C3 B2 19 21 02 00 +@000019B0 39 35 21 02 00 39 7E A7 CA DC 19 2A CE 16 01 12 +@000019C0 00 09 E5 CD 92 21 D5 E5 21 06 00 39 CD 84 21 CD +@000019D0 A8 21 CD B5 21 C1 CD BC 22 C3 AD 19 2A CE 16 01 +@000019E0 16 00 09 E5 2A D2 16 01 11 00 09 E5 CD 23 17 C1 +@000019F0 EB 2E 04 CD E0 22 D1 CD B6 22 2A CE 16 01 06 00 +@00001A00 09 E5 2A CE 16 01 12 00 09 CD 92 21 D5 E5 2A CE +@00001A10 16 01 16 00 09 CD 84 21 CD A8 21 CD B5 21 C1 CD +@00001A20 BC 22 33 C1 C9 21 02 00 39 E5 CD 92 21 D5 E5 2A +@00001A30 CE 16 23 23 CD 92 21 CD B5 21 C1 CD BC 22 2A CE +@00001A40 16 01 0E 00 09 CD 92 21 D5 E5 21 06 00 39 CD 92 +@00001A50 21 CD 21 23 D2 5B 1A 21 01 00 C9 2A D0 16 E5 21 +@00001A60 04 00 39 CD 92 21 D5 E5 CD 71 15 C1 C1 C1 7C B5 +@00001A70 CA 8A 1A 2A CE 16 01 0E 00 09 E5 21 04 00 39 CD +@00001A80 92 21 C1 CD BC 22 21 01 00 C9 21 00 00 C9 21 06 +@00001A90 00 CD 89 21 21 04 00 39 CD 92 21 D5 E5 CD 71 15 +@00001AA0 C1 C1 C1 C9 2A CE 16 01 06 00 09 CD 92 21 D5 E5 +@00001AB0 21 06 00 39 CD 92 21 D5 E5 21 FE FF 11 FF FF CD +@00001AC0 B5 21 D5 E5 2A CE 16 23 CD 7E 21 CD A8 21 CD 40 +@00001AD0 23 CD B5 21 C9 C5 C5 21 00 00 39 E5 2A CE 16 CD +@00001AE0 7E 21 7C B5 CA F6 1A 21 08 00 39 CD 92 21 0E 07 +@00001AF0 CD D2 21 C3 02 1B 21 08 00 39 CD 92 21 0E 08 CD +@00001B00 D2 21 C1 CD BC 22 21 00 00 39 CD 92 21 D5 E5 CD +@00001B10 25 1A C1 C1 CD B0 21 D2 23 1B 21 00 00 CD A8 21 +@00001B20 C1 C1 C9 2A CE 16 CD 7E 21 7C B5 CA 53 1B 2A D0 +@00001B30 16 E5 21 08 00 39 CD 92 21 D5 E5 21 7F 00 11 00 +@00001B40 00 CD C0 21 3E 02 CD 02 23 D1 19 E5 CD D6 16 C1 +@00001B50 C1 C1 C9 2A D0 16 E5 21 08 00 39 CD 92 21 D5 E5 +@00001B60 21 FF 00 11 00 00 CD C0 21 3E 01 CD 02 23 D1 19 +@00001B70 E5 CD 23 17 C1 CD A8 21 C1 C1 C9 C1 C1 C9 2A CE +@00001B80 16 CD 7E 21 7C B5 CA AC 1B 21 02 00 39 CD 92 21 +@00001B90 D5 E5 21 F8 FF 11 FF FF CD C0 21 D5 E5 21 F8 FF +@00001BA0 11 FF FF CD 21 23 21 00 00 CB 15 C9 21 02 00 39 +@00001BB0 CD 92 21 D5 E5 21 F8 FF 11 00 00 CD C0 21 D5 E5 +@00001BC0 21 F8 FF 11 00 00 CD 21 23 21 00 00 CB 15 C9 C9 +@00001BD0 21 06 00 CD 89 21 21 04 00 39 CD 92 21 C1 CD BC +@00001BE0 22 21 06 00 39 CD 84 21 01 04 00 09 E5 21 04 00 +@00001BF0 39 CD 92 21 D5 E5 CD A4 1A C1 C1 C1 CD BC 22 21 +@00001C00 01 00 C9 C5 21 06 00 39 CD 84 21 01 04 00 09 CD +@00001C10 92 21 D5 E5 21 0A 00 39 CD 84 21 CD 92 21 CD 1B +@00001C20 23 D5 E5 CD A4 1A C1 C1 CD 21 23 D2 85 1C 21 06 +@00001C30 00 CD 89 21 21 08 00 39 CD 84 21 CD 92 21 D5 E5 +@00001C40 CD D5 1A C1 C1 C1 CD BC 22 21 06 00 39 CD 84 21 +@00001C50 CD 92 21 D5 E5 CD 7E 1B C1 C1 7C B5 CA 64 1C 21 +@00001C60 FF FF C1 C9 21 06 00 39 CD 84 21 01 04 00 09 E5 +@00001C70 21 08 00 39 CD 84 21 CD 92 21 D5 E5 CD A4 1A C1 +@00001C80 C1 C1 CD BC 22 21 04 00 CD 89 21 21 08 00 39 CD +@00001C90 84 21 01 04 00 09 E5 CD 92 21 CD 1B 23 C1 CD BC +@00001CA0 22 CD 15 23 D5 E5 CD 71 15 C1 C1 C1 C1 C9 21 00 +@00001CB0 00 E5 C3 B9 1C E1 23 E5 2B 21 00 00 CD 89 21 21 +@00001CC0 00 01 D1 CD A3 22 D2 E5 1C 2A D4 16 E5 C1 E1 E5 +@00001CD0 C5 29 29 29 29 D1 19 E5 21 00 00 7D CD C8 22 D1 +@00001CE0 7D 12 C3 B5 1C C1 C9 3B 21 03 00 39 CD 84 21 7E +@00001CF0 FE E5 C2 FA 1C 21 00 00 33 C9 21 03 00 39 CD 84 +@00001D00 21 01 0B 00 09 7E E6 0D CA 10 1D 21 00 00 33 C9 +@00001D10 21 05 00 CD 89 21 21 05 00 39 CD 84 21 01 0B 00 +@00001D20 09 7E E6 10 5F 16 00 21 10 00 CD 8A 23 11 01 00 +@00001D30 EB CD 83 23 7D CD C8 22 D1 7D 12 21 00 00 39 36 +@00001D40 00 6E 26 00 C3 50 1D 21 00 00 39 34 6E 26 00 2D +@00001D50 21 00 00 39 7E FE 0B CA 89 1D D2 89 1D 21 05 00 +@00001D60 39 CD 84 21 23 EB 21 00 00 39 6E 26 00 19 E5 21 +@00001D70 05 00 39 34 7E 23 20 01 34 66 6F 2B 6E 26 00 7D +@00001D80 CD C8 22 D1 7D 12 C3 47 1D 21 05 00 39 CD 84 21 +@00001D90 01 0C 00 09 E5 21 05 00 39 CD 84 21 01 0F 00 09 +@00001DA0 E5 CD 23 17 C1 CD A8 21 C1 CD BC 22 2A CE 16 CD +@00001DB0 7E 21 7C B5 CA E6 1D 21 05 00 39 CD 84 21 01 0C +@00001DC0 00 09 E5 CD 92 21 D5 E5 21 09 00 39 CD 84 21 01 +@00001DD0 09 00 09 E5 CD 23 17 C1 CD A8 21 EB 21 00 00 CD +@00001DE0 71 23 C1 CD BC 22 21 01 00 33 C9 3B 21 00 00 39 +@00001DF0 36 10 6E 26 00 C3 FD 1D 21 00 00 39 35 21 00 00 +@00001E00 39 7E A7 CA 6C 1E 21 03 00 39 CD 84 21 7E A7 C2 +@00001E10 25 1E 21 05 00 39 CD 84 21 CD 84 21 E5 D1 AF 12 +@00001E20 21 01 00 33 C9 21 05 00 39 CD 84 21 5E 23 56 D5 +@00001E30 21 05 00 39 CD 84 21 E5 CD E7 1C C1 C1 7C B5 CA +@00001E40 59 1E 21 05 00 39 CD 84 21 E5 CD 84 21 01 10 00 +@00001E50 09 D1 CD B6 22 01 F0 FF 09 21 03 00 39 E5 CD 84 +@00001E60 21 01 20 00 09 D1 CD B6 22 C3 F8 1D 21 00 00 33 +@00001E70 C9 C5 C5 C5 C5 3B CD AE 1C 21 05 00 39 E5 2A CE +@00001E80 16 01 12 00 09 CD 92 21 C1 CD BC 22 21 03 00 39 +@00001E90 EB 2A D4 16 CD B6 22 21 00 00 39 E5 2A CE 16 01 +@00001EA0 16 00 09 CD 84 21 D1 7D 12 C3 B1 1E 21 00 00 39 +@00001EB0 35 21 00 00 39 7E A7 CA 0C 1F 2A D2 16 E5 21 07 +@00001EC0 00 39 CD 92 21 D5 E5 CD 71 15 C1 C1 C1 CD B0 21 +@00001ED0 D2 E4 1E 21 EA 20 E5 CD 46 0D C1 21 00 00 33 C1 +@00001EE0 C1 C1 C1 C9 21 03 00 39 E5 2A D2 16 E5 CD EB 1D +@00001EF0 C1 C1 7C B5 C2 0C 1F 21 05 00 39 E5 CD 92 21 CD +@00001F00 1B 23 C1 CD BC 22 CD 15 23 C3 AC 1E 21 03 00 39 +@00001F10 CD 84 21 CD 84 21 E5 D1 AF 12 2A D4 16 33 C1 C1 +@00001F20 C1 C1 C9 C5 C5 C5 C5 C5 2A CE 16 CD 7E 21 CD B0 +@00001F30 21 D2 4B 1F 21 0C 00 39 CD 92 21 7C B5 B2 B3 C2 +@00001F40 4B 1F CD 71 1E C1 C1 C1 C1 C1 C9 CD AE 1C 21 06 +@00001F50 00 39 E5 21 0E 00 39 CD 92 21 C1 CD BC 22 2A D4 +@00001F60 16 C1 E5 3B 21 03 00 39 E5 21 09 00 39 CD 92 21 +@00001F70 D5 E5 CD A4 1A C1 C1 C1 CD BC 22 21 00 00 39 36 +@00001F80 08 6E 26 00 C3 8C 1F 21 00 00 39 35 21 00 00 39 +@00001F90 7E A7 CA DF 1F 2A D2 16 E5 21 05 00 39 CD 92 21 +@00001FA0 D5 E5 CD 71 15 C1 C1 C1 CD B0 21 D2 B7 1F 21 0B +@00001FB0 00 39 F9 21 00 00 C9 21 01 00 39 E5 2A D2 16 E5 +@00001FC0 CD EB 1D C1 C1 7C B5 C2 34 20 21 03 00 39 E5 CD +@00001FD0 92 21 CD 1B 23 C1 CD BC 22 CD 15 23 C3 87 1F 21 +@00001FE0 07 00 39 E5 21 09 00 39 CD 92 21 D5 E5 CD D5 1A +@00001FF0 C1 C1 C1 CD BC 22 21 07 00 39 CD 92 21 7C B5 B2 +@00002000 B3 C2 0D 20 21 0B 00 39 F9 21 00 00 C9 33 21 06 +@00002010 00 39 CD 92 21 D5 E5 CD 7E 1B C1 C1 CD B0 21 DA +@00002020 63 1F E1 E5 CD 84 21 E5 D1 AF 12 2A D4 16 C1 C1 +@00002030 C1 C1 C1 C9 33 C3 22 20 2A CE 16 CD 7E 21 7C B5 +@00002040 CA 55 20 2A CE 16 01 12 00 09 CD 92 21 D5 E5 CD +@00002050 23 1F C1 C1 C9 CD 71 1E C9 C9 45 72 72 6F 72 20 +@00002060 6C 6F 61 64 69 6E 67 20 4D 42 52 0D 00 57 72 6F +@00002070 6E 67 20 4D 42 52 0D 00 55 6E 73 75 70 70 6F 72 +@00002080 74 65 64 20 46 69 6C 65 53 79 73 74 65 6D 20 28 +@00002090 46 41 54 31 36 2F 33 32 20 6F 6E 6C 79 29 0D 00 +@000020A0 45 72 72 6F 72 20 77 68 69 6C 65 20 6C 6F 61 64 +@000020B0 69 6E 67 20 62 6F 6F 74 20 73 65 63 74 6F 72 0D +@000020C0 00 57 72 6F 6E 67 20 62 6F 6F 74 20 72 65 63 6F +@000020D0 72 64 0D 00 73 65 63 74 6F 72 20 73 69 7A 65 20 +@000020E0 21 3D 20 30 78 32 30 30 0D 00 65 72 72 6F 72 20 +@000020F0 77 68 69 6C 65 20 72 65 61 64 69 6E 67 0D 00 7D +@00002100 A3 6F 7C A2 67 C9 EB E1 4E 23 46 23 78 B1 28 0C +@00002110 7E 23 BB 7E 23 20 F1 BA 20 EE 60 69 E9 7C 2F 67 +@00002120 7D 2F 6F C9 7C B5 C8 EB 7C E6 80 47 28 06 97 95 +@00002130 6F 9F 94 67 7A E6 80 4F 28 06 97 93 5F 9F 92 57 +@00002140 B7 C5 CD 5C 21 C1 EB 79 A8 FC 51 21 78 EB E6 80 +@00002150 C8 97 93 5F 9F 92 57 C9 7C B5 C8 EB 06 10 7C 4D +@00002160 21 00 00 CB 11 17 ED 6A ED 52 30 01 19 3F 10 F3 +@00002170 CB 11 17 57 59 EB C9 A7 ED 52 3F C8 A7 C9 7E 6F +@00002180 07 9F 67 C9 7E 23 66 6F C9 39 23 23 7E 23 66 6F +@00002190 E3 E9 5E 23 56 23 7E 23 66 6F EB C9 7A C6 80 47 +@000021A0 7C C6 80 B8 C0 7D BB C9 11 00 00 CB 7C C8 1B C9 +@000021B0 7C B5 C0 37 C9 DD E1 C1 09 EB C1 ED 4A EB DD E9 +@000021C0 DD E1 C1 79 A5 6F 78 A4 67 C1 79 A3 5F 78 A2 57 +@000021D0 DD E9 79 B7 C8 47 7B CB 2A 1F CB 1C CB 1D 10 F7 +@000021E0 5F C9 7A B3 B4 B5 CA 8A 22 F1 E5 D9 D1 C1 21 00 +@000021F0 00 D9 C1 21 00 00 F5 79 4A C5 4F CB 78 28 14 D9 +@00002200 79 2F 4F 78 2F 47 78 B1 03 D9 79 2F 4F 78 2F 47 +@00002210 20 01 03 CB 7A 28 14 D9 7B 2F 5F 7A 2F 57 7A B3 +@00002220 13 D9 7B 2F 5F 7A 2F 57 20 01 13 CD 52 22 C1 78 +@00002230 A9 FC 91 22 78 E6 80 F0 D9 CD 91 22 D9 C9 7A B3 +@00002240 B4 B5 28 46 F1 E5 D9 D1 C1 21 00 00 D9 C1 21 00 +@00002250 00 F5 3E 20 B7 D9 CB 11 CB 10 D9 CB 11 CB 10 D9 +@00002260 ED 6A D9 ED 6A D9 ED 52 D9 ED 52 30 05 D9 19 D9 +@00002270 ED 5A 3F 3D C2 55 22 D9 CB 11 CB 10 D9 CB 11 CB +@00002280 10 E5 D9 D1 C5 D9 E1 59 50 C9 D9 C1 E1 D1 C5 D9 +@00002290 C9 7D 2F 6F 7C 2F 67 7B 2F 5F 7A 2F 57 2C C0 24 +@000022A0 C0 13 C9 7C C6 80 47 7A C6 80 B8 C0 7B BD C9 B7 +@000022B0 ED 52 37 C0 3F C9 7D 12 13 7C 12 C9 7D 02 03 7C +@000022C0 02 03 7B 02 03 7A 02 C9 6F 07 9F 67 C9 7C BA C0 +@000022D0 7D BB C9 7A BC C0 7B BD C9 DB DC 2F 26 00 6F C9 +@000022E0 EB 1D F8 CB 2C CB 1D C3 E1 22 39 23 23 7E 6F 07 +@000022F0 9F 67 E3 E9 7A C6 80 47 7C C6 80 B8 3F C0 7D BB +@00002300 3F C9 B7 C8 47 7B 29 17 CB 12 10 FA 5F C9 EB 1D +@00002310 F8 29 C3 0F 23 7C B5 2B C0 1B C9 2C C0 24 C0 13 +@00002320 C9 DD E1 C1 79 BD C2 3C 23 78 BC C2 3C 23 C1 79 +@00002330 BB C2 3D 23 78 BA C2 3D 23 37 DD E9 C1 B7 DD E9 +@00002340 F1 E5 D9 D1 C1 21 00 00 D9 C1 21 00 00 F5 3E 20 +@00002350 CB 38 CB 19 D9 CB 18 CB 19 30 05 19 D9 ED 5A D9 +@00002360 CB 23 CB 12 D9 CB 13 CB 12 3D C2 50 23 E5 D9 D1 +@00002370 C9 DD E1 C1 79 B5 6F 78 B4 67 C1 79 B3 5F 78 B2 +@00002380 57 DD E9 7D B3 6F 7C B2 67 C9 7D AB 6F 7C AA 67 +@00002390 C9 /* http://srecord.sourceforge.net/ */ @00008400 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 @00008410 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 diff --git a/cores/MasterSystem/bootloader/Makefile b/cores/MasterSystem/bootloader/Makefile index 0f92749..432f99d 100644 --- a/cores/MasterSystem/bootloader/Makefile +++ b/cores/MasterSystem/bootloader/Makefile @@ -6,6 +6,7 @@ CFLAGS = +sms #-DDEBUG #-DDEBUG_FAT C_FILES=main.c console.c debug.c sd.c fat.c OBJECT_FILES=$(C_FILES:%.c=%.o) +#PATH_EMU=/home/ben/prog/JSMS PATH_EMU=/home/backup/workspace/JSMS EMU=java -cp $(PATH_EMU)/bin/ org.jsms.awt.SwingJsms @@ -22,6 +23,8 @@ font.mem: font.bin srec_cat font.bin -binary -offset 0x8400 -line_length 59 -o font.mem -vmem 8 + + boot.o: $(OBJECT_FILES) $(LD) $(CFLAGS) $(OBJECT_FILES) -o $@ -m @@ -45,6 +48,8 @@ vram.bin: vram.mem srec_cat vram.mem -vmem -offset -0x8000 -fill 0 0 0x4000 -o vram.bin -binary + + clean: rm -f *.o *.opt boot.map zcc_opt.def rm -f *.mem vram.bin boot.sms diff --git a/cores/MasterSystem/bootloader/all.mem b/cores/MasterSystem/bootloader/all.mem index 8a5f75a..2928813 100644 --- a/cores/MasterSystem/bootloader/all.mem +++ b/cores/MasterSystem/bootloader/all.mem @@ -22,545 +22,553 @@ @00000140 FF 85 FB 86 FF 87 00 88 00 89 FF 8A 30 3F 08 28 @00000150 02 22 0A 2A 15 35 1D 3D 17 37 1F 3F 30 03 08 28 @00000160 02 22 0A 2A 15 35 1D 3D 17 37 1F 3F C9 C9 C5 C5 -@00000170 21 04 80 E5 CD 72 0C C1 21 60 81 E5 CD 72 0C C1 -@00000180 21 0E 82 E5 CD 72 0C C1 21 FF 85 E5 CD 72 0C C1 -@00000190 21 00 87 E5 CD 72 0C C1 21 00 88 E5 CD 72 0C C1 -@000001A0 21 00 89 E5 CD 72 0C C1 21 00 C0 E5 CD 72 0C C1 +@00000170 21 04 80 E5 CD 5A 0C C1 21 60 81 E5 CD 5A 0C C1 +@00000180 21 0E 82 E5 CD 5A 0C C1 21 FF 85 E5 CD 5A 0C C1 +@00000190 21 00 87 E5 CD 5A 0C C1 21 00 88 E5 CD 5A 0C C1 +@000001A0 21 00 89 E5 CD 5A 0C C1 21 00 C0 E5 CD 5A 0C C1 @000001B0 21 4C 01 C1 11 00 00 EB C1 E5 D5 C3 C4 01 D1 E1 -@000001C0 23 E5 D5 2B 21 02 00 CD 0F 21 21 20 00 D1 CD 29 -@000001D0 22 D2 E3 01 E1 23 E5 2B CD 04 21 E5 CD 7E 0C C1 -@000001E0 C3 BE 01 21 00 3F E5 CD 72 0C C1 21 D0 00 7D CD -@000001F0 4E 22 E5 CD 7E 0C C1 CD 88 0C CD BC 0C 21 03 00 -@00000200 E5 21 00 00 E5 CD DB 0C C1 C1 21 77 0B E5 CD 5E -@00000210 0D C1 21 03 00 E5 21 01 00 E5 CD DB 0C C1 C1 21 -@00000220 91 0B E5 CD 5E 0D C1 21 00 00 D1 C1 E5 D5 CD 7B -@00000230 13 CD 36 21 D2 42 02 21 AB 0B E5 CD 5E 0D C1 C3 -@00000240 5D 02 CD CF 16 CD 36 21 D2 56 02 21 C9 0B E5 CD -@00000250 5E 0D C1 C3 5D 02 21 01 00 D1 C1 E5 D5 C1 E1 E5 -@00000260 C5 E5 CD 6C 02 C1 C3 F7 01 C1 C1 C9 21 00 00 E5 -@00000270 21 09 00 E5 21 0A 00 E5 CD DB 0C C1 C1 21 04 00 -@00000280 39 CD 0A 21 7C B5 CA 8F 02 CD 2A 03 C3 97 02 21 -@00000290 EA 0B E5 CD 5E 0D C1 C5 21 06 00 E5 21 0A 00 E5 -@000002A0 CD DB 0C C1 C1 C1 E1 E5 C5 7C B5 C2 B9 02 21 F8 -@000002B0 0B E5 CD 5E 0D C1 C3 C1 02 21 FA 0B E5 CD 5E 0D -@000002C0 C1 21 06 00 E5 21 0C 00 E5 CD DB 0C C1 C1 21 02 -@000002D0 00 CD 0F 21 21 01 00 D1 CD FD 20 D2 E9 02 21 F8 -@000002E0 0B E5 CD 5E 0D C1 C3 F1 02 21 FA 0B E5 CD 5E 0D -@000002F0 C1 CD 2D 0B C1 E5 CD 8C 20 06 03 10 00 06 03 20 -@00000300 00 00 00 C3 24 03 C1 E1 E5 C5 7C B5 C2 1E 03 21 -@00000310 06 00 39 CD 0A 21 7C B5 C4 2A 03 C3 21 03 CD 98 -@00000320 09 C1 C1 C9 C1 C3 97 02 C1 C9 21 00 00 E5 21 03 -@00000330 00 E5 C5 C5 C5 21 04 00 39 E5 CD BD 1F D1 CD 3C -@00000340 22 21 04 00 39 CD 0A 21 7C B5 C2 5B 03 21 FD 0B -@00000350 E5 CD 5E 0D C1 C1 C1 C1 C1 C1 C9 21 04 00 39 CD -@00000360 0A 21 D1 C1 E5 D5 21 04 00 39 CD 0A 21 C1 E5 C5 -@00000370 21 04 00 CD 0F 21 21 04 00 39 CD 0A 21 E5 CD 92 -@00000380 0A C1 C1 CD 5F 22 C1 E5 21 0A 00 39 34 7E 23 20 -@00000390 01 34 66 6F 21 0A 00 CD 0F 21 21 14 00 D1 CD 22 -@000003A0 21 D2 AF 03 21 08 00 39 11 03 00 EB CD 3C 22 21 -@000003B0 00 00 CD 0F 21 21 01 00 D1 CD 85 20 7C B5 CA DA -@000003C0 03 21 0A 00 CD 0F 21 21 0A 00 39 CD 0A 21 D1 CD -@000003D0 AA 20 21 00 00 CD FD 20 38 03 C3 3B 04 21 02 00 -@000003E0 CD 0F 21 21 08 00 39 CD 0A 21 D1 CD 35 22 D2 30 -@000003F0 04 21 02 00 39 E5 CD 0A 21 01 F0 FF 09 D1 CD 3C -@00000400 22 01 10 00 09 21 08 00 39 36 08 23 36 00 21 02 -@00000410 00 CD 0F 21 21 06 00 39 CD 0A 21 D1 CD 59 22 D2 -@00000420 30 04 21 04 00 39 E5 2B 2B CD 0A 21 D1 CD 3C 22 -@00000430 21 0A 00 39 11 00 00 EB CD 3C 22 21 00 00 CD 0F -@00000440 21 21 02 00 D1 CD 85 20 7C B5 CA 66 04 21 0A 00 -@00000450 CD 0F 21 21 0A 00 39 CD 0A 21 D1 CD AA 20 21 00 -@00000460 00 CD FD 20 38 03 C3 D8 04 C1 E1 E5 C5 01 10 00 -@00000470 09 CD 04 21 7C B5 CA CD 04 21 02 00 39 E5 CD 0A -@00000480 21 01 10 00 09 D1 CD 3C 22 01 F0 FF 09 21 08 00 -@00000490 39 36 08 23 36 00 21 02 00 CD 0F 21 21 06 00 39 -@000004A0 CD 0A 21 D1 EB A7 ED 52 EB 21 10 00 CD DE 20 11 -@000004B0 13 00 EB CD 22 21 D2 CD 04 21 04 00 39 E5 CD 0A -@000004C0 21 01 10 00 09 D1 CD 3C 22 01 F0 FF 09 21 0A 00 -@000004D0 39 11 00 00 EB CD 3C 22 21 00 00 CD 0F 21 21 04 -@000004E0 00 D1 CD 85 20 7C B5 CA 03 05 21 0A 00 CD 0F 21 -@000004F0 21 0A 00 39 CD 0A 21 D1 CD AA 20 21 00 00 CD FD -@00000500 20 38 03 C3 92 05 21 02 00 CD 0F 21 21 08 00 39 -@00000510 CD 0A 21 D1 CD 35 22 D2 31 05 21 02 00 CD 0F 21 -@00000520 21 08 00 39 CD 0A 21 01 40 00 09 D1 CD 53 22 38 -@00000530 03 C3 6E 05 C1 E1 E5 C5 01 B0 FF 09 D1 C1 E5 D5 -@00000540 21 08 00 39 36 08 23 36 00 21 02 00 CD 0F 21 21 -@00000550 06 00 39 CD 0A 21 D1 CD 59 22 D2 6B 05 21 04 00 -@00000560 39 E5 2B 2B CD 0A 21 D1 CD 3C 22 C3 87 05 21 06 -@00000570 00 39 CD 0A 21 D1 C1 E5 D5 21 04 00 39 E5 2B 2B -@00000580 CD 0A 21 D1 CD 3C 22 21 0A 00 39 11 00 00 EB CD -@00000590 3C 22 21 00 00 CD 0F 21 21 08 00 D1 CD 85 20 7C -@000005A0 B5 CA BD 05 21 0A 00 CD 0F 21 21 0A 00 39 CD 0A -@000005B0 21 D1 CD AA 20 21 00 00 CD FD 20 38 03 C3 20 07 -@000005C0 C1 E1 E5 C5 01 50 00 09 CD 04 21 11 00 00 EB CD -@000005D0 35 22 D2 28 06 C1 E1 E5 C5 01 40 00 09 CD 04 21 -@000005E0 11 00 00 EB CD 35 22 D2 28 06 C1 E1 E5 C5 01 30 -@000005F0 00 09 CD 04 21 11 00 00 EB CD 35 22 D2 28 06 C1 -@00000600 E1 E5 C5 01 20 00 09 CD 04 21 11 00 00 EB CD 35 -@00000610 22 D2 28 06 C1 E1 E5 C5 01 10 00 09 CD 04 21 11 -@00000620 00 00 EB CD 35 22 38 03 C3 76 06 C1 E1 E5 C5 01 -@00000630 50 00 09 D1 C1 E5 D5 21 08 00 39 36 08 23 36 00 -@00000640 21 02 00 CD 0F 21 21 06 00 39 CD 0A 21 D1 EB A7 -@00000650 ED 52 EB 21 10 00 CD DE 20 11 13 00 EB CD 22 21 -@00000660 D2 73 06 21 04 00 39 E5 CD 0A 21 01 50 00 09 D1 -@00000670 CD 3C 22 C3 15 07 C1 E1 E5 C5 01 10 00 09 CD 04 -@00000680 21 7C B5 C2 91 06 C1 E1 E5 C5 D1 C1 E5 D5 C3 0A -@00000690 07 C1 E1 E5 C5 01 20 00 09 CD 04 21 7C B5 C2 B0 -@000006A0 06 C1 E1 E5 C5 01 10 00 09 D1 C1 E5 D5 C3 0A 07 -@000006B0 C1 E1 E5 C5 01 30 00 09 CD 04 21 7C B5 C2 CF 06 -@000006C0 C1 E1 E5 C5 01 20 00 09 D1 C1 E5 D5 C3 0A 07 C1 -@000006D0 E1 E5 C5 01 40 00 09 CD 04 21 7C B5 C2 EE 06 C1 -@000006E0 E1 E5 C5 01 30 00 09 D1 C1 E5 D5 C3 0A 07 C1 E1 -@000006F0 E5 C5 01 50 00 09 CD 04 21 7C B5 C2 0A 07 C1 E1 -@00000700 E5 C5 01 40 00 09 D1 C1 E5 D5 21 08 00 39 11 08 -@00000710 00 EB CD 3C 22 21 0A 00 39 11 00 00 EB CD 3C 22 -@00000720 21 00 00 CD 0F 21 21 30 00 D1 CD 85 20 7C B5 CA -@00000730 4B 07 21 0A 00 CD 0F 21 21 0A 00 39 CD 0A 21 D1 -@00000740 CD AA 20 21 00 00 CD FD 20 38 03 C3 DD 07 C1 E1 -@00000750 E5 C5 CD 04 21 11 10 00 EB CD 85 20 7C B5 C2 C0 -@00000760 07 21 06 00 39 E5 21 04 00 39 CD 0A 21 01 0C 00 -@00000770 09 CD 18 21 D5 E5 CD A8 1E C1 C1 D1 CD 3C 22 21 -@00000780 06 00 39 CD 0A 21 7C B5 C2 98 07 21 1A 0C E5 CD -@00000790 5E 0D 21 0E 00 39 F9 C9 21 04 00 39 EB 21 06 00 -@000007A0 39 CD 0A 21 CD 3C 22 21 06 00 39 CD 0A 21 D1 C1 -@000007B0 E5 D5 21 08 00 39 11 0C 00 EB CD 3C 22 C3 D2 07 -@000007C0 C1 E1 E5 C5 E5 CD E7 07 C1 CD 98 09 21 0C 00 39 -@000007D0 F9 C9 21 0A 00 39 11 00 00 EB CD 3C 22 C1 C3 6F -@000007E0 03 C1 C1 C1 C1 C1 C9 21 F2 FF 39 F9 CD BC 0C 21 -@000007F0 38 0C E5 CD 5E 0D C1 21 04 00 39 11 00 00 EB CD -@00000800 3C 22 C3 12 08 21 04 00 39 34 7E 23 20 01 34 66 -@00000810 6F 2B 21 04 00 CD 0F 21 21 08 00 D1 CD 29 22 D2 -@00000820 3E 08 21 10 00 39 CD 0A 21 23 EB 21 04 00 39 CD -@00000830 0A 21 19 CD 04 21 E5 CD A3 0C C1 C3 05 08 21 2E -@00000840 00 7D CD 4E 22 E5 CD A3 0C C1 21 04 00 39 11 08 -@00000850 00 EB CD 3C 22 C3 65 08 21 04 00 39 34 7E 23 20 -@00000860 01 34 66 6F 2B 21 04 00 CD 0F 21 21 0B 00 D1 CD -@00000870 29 22 D2 91 08 21 10 00 39 CD 0A 21 23 EB 21 04 -@00000880 00 39 CD 0A 21 19 CD 04 21 E5 CD A3 0C C1 C3 58 -@00000890 08 21 41 0C E5 CD 5E 0D C1 21 06 00 39 E5 21 12 -@000008A0 00 39 CD 0A 21 01 0C 00 09 CD 18 21 D5 E5 CD 55 -@000008B0 1B C1 C1 C1 21 00 00 39 E5 21 00 00 CD 2E 21 C1 -@000008C0 CD 42 22 C5 21 02 00 39 CD 18 21 D5 E5 21 FF 3F -@000008D0 11 00 00 CD 46 21 7C B5 B2 B3 C2 FB 08 21 FF FF -@000008E0 E5 21 04 00 39 CD 18 21 0E 0E CD 58 21 D5 E5 21 -@000008F0 FF 00 11 00 00 CD 46 21 D1 7D 12 21 02 00 39 CD -@00000900 18 21 D5 E5 21 FF 3F 11 00 00 CD 46 21 11 00 80 -@00000910 19 C1 E5 21 08 00 39 E5 C1 E1 E5 C5 E5 CD 88 1B -@00000920 C1 C1 C1 E5 7C B5 C2 34 09 21 44 0C E5 CD 5E 0D -@00000930 C1 C3 8E 09 21 00 00 CD 0F 21 21 FF FF D1 CD FD -@00000940 20 D2 55 09 21 00 00 E5 21 02 00 E5 CD DB 0C 21 -@00000950 14 00 39 F9 C9 21 02 00 39 E5 CD 18 21 D5 E5 21 -@00000960 00 02 11 00 00 CD 3B 21 C1 CD 42 22 21 02 00 39 -@00000970 CD 18 21 D5 E5 21 00 40 11 00 00 CD 68 21 D9 7C -@00000980 B5 B2 B3 C2 8E 09 21 5E 0C E5 CD 5E 0D C1 C1 C3 -@00000990 C3 08 21 0E 00 39 F9 C9 21 FD FF 36 00 21 FE FF -@000009A0 36 01 21 FF FF 36 02 6E 26 00 D3 00 C9 C5 C5 21 -@000009B0 06 00 39 CD 0A 21 CD 04 21 11 10 00 EB CD 85 20 -@000009C0 11 00 00 EB CD FD 20 21 00 00 CB 15 D1 C1 E5 D5 -@000009D0 CD 36 21 D2 E5 09 21 20 00 7D CD 4E 22 E5 CD A3 -@000009E0 0C C1 C3 F1 09 21 5B 00 7D CD 4E 22 E5 CD A3 0C -@000009F0 C1 21 00 00 C1 E5 C3 FD 09 E1 23 E5 2B 21 00 00 -@00000A00 CD 0F 21 21 08 00 D1 CD 29 22 D2 24 0A 21 06 00 -@00000A10 39 CD 0A 21 23 EB E1 E5 19 CD 04 21 E5 CD A3 0C -@00000A20 C1 C3 F9 09 21 2E 00 7D CD 4E 22 E5 CD A3 0C C1 -@00000A30 21 00 00 C1 E5 C3 3C 0A E1 23 E5 2B 21 00 00 CD -@00000A40 0F 21 21 03 00 D1 CD 29 22 D2 6A 0A 21 06 00 39 -@00000A50 CD 0A 21 23 E5 C1 E1 E5 C5 11 08 00 19 D1 19 CD -@00000A60 04 21 E5 CD A3 0C C1 C3 38 0A C1 E1 E5 C5 CD 36 -@00000A70 21 D2 83 0A 21 20 00 7D CD 4E 22 E5 CD A3 0C C1 -@00000A80 C3 8F 0A 21 5D 00 7D CD 4E 22 E5 CD A3 0C C1 C1 -@00000A90 C1 C9 21 00 00 E5 C3 9D 0A E1 23 E5 2B 21 00 00 -@00000AA0 CD 0F 21 21 14 00 D1 CD 29 22 D2 2B 0B 21 06 00 -@00000AB0 E5 C1 E1 E5 C5 11 04 00 19 E5 CD DB 0C C1 C1 21 -@00000AC0 06 00 CD 0F 21 C1 E1 E5 C5 29 29 29 29 D1 19 EB -@00000AD0 21 04 00 39 CD 0A 21 CD FD 20 D2 E8 0A 21 60 0C -@00000AE0 E5 CD 5E 0D C1 C3 F0 0A 21 FA 0B E5 CD 5E 0D C1 -@00000AF0 21 06 00 CD 0F 21 C1 E1 E5 C5 29 29 29 29 D1 19 -@00000B00 CD 04 21 7C B5 CA 20 0B 21 06 00 CD 0F 21 C1 E1 -@00000B10 E5 C5 29 29 29 29 D1 19 E5 CD AD 09 C1 C3 28 0B -@00000B20 21 63 0C E5 CD 5E 0D C1 C3 99 0A C1 C9 C5 C5 CD -@00000B30 5F 22 D1 C1 E5 D5 CD 5F 22 C1 E5 21 00 00 CD 0F -@00000B40 21 21 04 00 39 CD 0A 21 CD A3 20 D1 CD 85 20 7C -@00000B50 B5 CA 6B 0B 21 00 00 CD 0F 21 21 04 00 39 CD 0A -@00000B60 21 CD A3 20 D1 CD 85 20 C1 C1 C9 E1 E5 D1 C1 E5 -@00000B70 D5 C3 36 0B C1 C1 C9 4D 41 53 54 45 52 20 53 59 -@00000B80 53 54 45 4D 20 52 4F 4D 20 4C 4F 41 44 45 52 0D -@00000B90 00 2D 2D 2D 2D 2D 2D 2D 2D 2D 2D 2D 2D 2D 2D 2D -@00000BA0 2D 2D 2D 2D 2D 2D 2D 2D 2D 0D 00 43 6F 75 6C 64 -@00000BB0 20 6E 6F 74 20 69 6E 69 74 69 61 6C 69 7A 65 20 -@00000BC0 53 44 20 63 61 72 64 0D 00 63 6F 75 6C 64 20 6E -@00000BD0 6F 74 20 69 6E 69 74 69 61 6C 69 7A 65 20 46 41 -@00000BE0 54 20 73 79 73 74 65 6D 0D 00 72 65 74 72 79 20 -@00000BF0 53 44 20 63 61 72 64 00 3E 00 20 20 00 45 72 72 -@00000C00 6F 72 20 72 65 61 64 69 6E 67 20 72 6F 6F 74 20 -@00000C10 64 69 72 65 63 74 6F 72 79 00 45 72 72 6F 72 20 -@00000C20 77 68 69 6C 65 20 72 65 61 64 69 6E 67 20 64 69 -@00000C30 72 65 63 74 6F 72 79 00 4C 6F 61 64 69 6E 67 20 -@00000C40 00 0D 0D 00 45 72 72 6F 72 20 77 68 69 6C 65 20 -@00000C50 72 65 61 64 69 6E 67 20 66 69 6C 65 0D 00 2E 00 -@00000C60 3E 20 00 20 20 20 20 20 20 20 20 20 20 20 20 20 -@00000C70 20 00 21 02 00 39 7E D3 BF 23 7E D3 BF C9 21 02 -@00000C80 00 39 7E D3 BE C9 00 C0 21 00 00 E5 E5 CD DB 0C -@00000C90 C1 C1 2A 86 0C 23 23 E5 21 00 00 7D CD 4E 22 D1 -@00000CA0 7D 12 C9 21 02 00 39 7E D3 BE 3E 00 D3 BE 2A 86 -@00000CB0 0C E5 CD 0A 21 23 23 D1 CD 3C 22 C9 3E 00 D3 BF -@00000CC0 3E 38 D3 BF AF 2E 07 06 00 D3 BE 10 FC 2D 20 F7 -@00000CD0 21 00 00 E5 E5 CD DB 0C C1 C1 C9 21 02 00 CD 0F -@00000CE0 21 21 00 00 CD 0F 21 C1 E1 E5 C5 D1 19 C1 E5 21 -@00000CF0 00 00 CD 0F 21 C1 E1 E5 C5 D1 19 C1 E5 21 00 00 -@00000D00 CD 0F 21 C1 E1 E5 C5 D1 19 C1 E5 21 00 00 CD 0F -@00000D10 21 C1 E1 E5 C5 D1 19 C1 E5 21 00 00 CD 0F 21 C1 -@00000D20 E1 E5 C5 D1 19 C1 E5 21 00 00 CD 0F 21 21 08 00 -@00000D30 39 CD 0A 21 D1 19 C1 E5 21 00 00 CD 0F 21 C1 E1 -@00000D40 E5 C5 D1 19 C1 E5 01 00 38 09 C1 E5 2A 86 0C EB -@00000D50 E1 E5 CD 3C 22 E1 E5 E5 CD 72 0C C1 C1 C9 C5 3B -@00000D60 2A 86 0C CD 0A 21 E5 CD 72 0C C1 21 00 00 39 E5 -@00000D70 21 07 00 39 34 7E 23 20 01 34 66 6F 2B CD 04 21 -@00000D80 7D CD 4E 22 D1 7D 12 21 00 00 39 CD 04 21 7D FE -@00000D90 00 CA 9C 0D FE 0D CA 9F 0D C3 D5 0D 33 C1 C9 21 -@00000DA0 01 00 39 E5 2A 86 0C 5E 23 56 21 C0 3F CD 85 20 -@00000DB0 01 40 00 09 D1 CD 3C 22 ED 5B 86 0C 21 01 00 39 -@00000DC0 CD 0A 21 CD 3C 22 21 01 00 39 CD 0A 21 E5 CD 72 -@00000DD0 0C C1 C3 E1 0D 21 00 00 39 CD 04 21 E5 CD A3 0C -@00000DE0 C1 C3 6B 0D 33 C1 C9 21 02 00 CD 0F 21 21 0A 00 -@00000DF0 D1 CD 29 22 D2 0B 0E C1 E1 E5 C5 11 30 00 19 7D -@00000E00 CD 4E 22 E5 CD A3 0C C1 C3 20 0E C1 E1 E5 C5 01 -@00000E10 F6 FF 09 11 61 00 19 7D CD 4E 22 E5 CD A3 0C C1 -@00000E20 C9 21 02 00 CD 70 22 21 04 00 D1 CD 66 22 11 0F -@00000E30 00 EB CD 85 20 E5 CD E7 0D C1 21 02 00 CD 70 22 -@00000E40 21 0F 00 D1 CD 85 20 E5 CD E7 0D C1 C9 21 02 00 -@00000E50 CD 0F 21 21 0C 00 D1 CD 66 22 11 0F 00 EB CD 85 -@00000E60 20 E5 CD E7 0D C1 21 02 00 CD 0F 21 21 08 00 D1 -@00000E70 CD 66 22 11 0F 00 EB CD 85 20 E5 CD E7 0D C1 21 -@00000E80 02 00 CD 0F 21 21 04 00 D1 CD 66 22 11 0F 00 EB -@00000E90 CD 85 20 E5 CD E7 0D C1 21 02 00 CD 0F 21 21 0F -@00000EA0 00 D1 CD 85 20 E5 CD E7 0D C1 C9 21 02 00 39 CD -@00000EB0 18 21 0E 1C CD 58 21 D5 E5 21 0F 00 11 00 00 CD -@00000EC0 46 21 E5 CD E7 0D C1 21 02 00 39 CD 18 21 0E 18 -@00000ED0 CD 58 21 D5 E5 21 0F 00 11 00 00 CD 46 21 E5 CD -@00000EE0 E7 0D C1 21 02 00 39 CD 18 21 0E 14 CD 58 21 D5 -@00000EF0 E5 21 0F 00 11 00 00 CD 46 21 E5 CD E7 0D C1 21 -@00000F00 02 00 39 CD 18 21 0E 10 CD 58 21 D5 E5 21 0F 00 -@00000F10 11 00 00 CD 46 21 E5 CD E7 0D C1 21 02 00 39 CD -@00000F20 18 21 0E 0C CD 58 21 D5 E5 21 0F 00 11 00 00 CD -@00000F30 46 21 E5 CD E7 0D C1 21 02 00 39 CD 18 21 0E 08 -@00000F40 CD 58 21 D5 E5 21 0F 00 11 00 00 CD 46 21 E5 CD -@00000F50 E7 0D C1 21 02 00 39 CD 18 21 0E 04 CD 58 21 D5 -@00000F60 E5 21 0F 00 11 00 00 CD 46 21 E5 CD E7 0D C1 21 -@00000F70 02 00 39 CD 18 21 D5 E5 21 0F 00 11 00 00 CD 46 -@00000F80 21 E5 CD E7 0D C1 C9 80 C0 2A 87 0F E5 21 04 00 -@00000F90 39 CD 04 21 D1 7D 12 C9 21 02 00 39 7E E6 7F F6 -@00000FA0 80 D3 C0 C9 DB 00 E6 7F D3 C0 C9 DB 00 F6 80 D3 -@00000FB0 C0 C9 DB 00 E6 80 28 FA C9 21 02 00 39 7E D3 C1 -@00000FC0 CD B2 0F C9 21 FF 00 7D CD 4E 22 E5 CD B9 0F C1 -@00000FD0 C9 CD C4 0F DB 01 6F 26 00 C9 C5 21 00 00 39 E5 -@00000FE0 21 0A 00 7D CD 4E 22 D1 7D 12 C3 F9 0F 21 00 00 -@00000FF0 39 E5 CD 04 21 2B D1 7D 12 21 00 00 39 CD 04 21 -@00001000 AF B4 FA 2C 10 B5 CA 2C 10 21 01 00 39 E5 CD D1 -@00001010 0F 7D CD 4E 22 D1 7D 12 21 01 00 CD 70 22 21 80 -@00001020 00 D1 CD 85 20 7C B5 28 03 C3 ED 0F 21 01 00 39 -@00001030 CD 04 21 26 00 C1 C9 C5 21 00 00 39 E5 21 0A 00 -@00001040 7D CD 4E 22 D1 7D 12 C3 56 10 21 00 00 39 E5 CD -@00001050 04 21 2B D1 7D 12 21 00 00 39 CD 04 21 AF B4 FA -@00001060 BA 10 B5 CA BA 10 21 01 00 39 E5 CD D1 0F 7D CD -@00001070 4E 22 D1 7D 12 21 01 00 CD 70 22 21 01 00 D1 CD -@00001080 FD 20 DA B4 10 21 01 00 CD 70 22 21 C0 00 D1 CD -@00001090 FD 20 DA B4 10 21 01 00 CD 70 22 21 80 00 D1 CD -@000010A0 FD 20 DA B4 10 21 01 00 CD 70 22 21 20 00 D1 CD -@000010B0 FD 20 30 03 C3 BA 10 C3 4A 10 21 01 00 39 CD 04 -@000010C0 21 26 00 C1 C9 C5 CD D1 0F 21 01 00 39 E5 21 0A -@000010D0 00 7D CD 4E 22 D1 7D 12 C3 E7 10 21 01 00 39 E5 -@000010E0 CD 04 21 2B D1 7D 12 21 01 00 39 CD 04 21 AF B4 -@000010F0 FA 18 11 B5 CA 18 11 21 00 00 39 E5 CD D1 0F 7D -@00001100 CD 4E 22 D1 7D 12 21 00 00 CD 70 22 21 FF 00 D1 -@00001110 CD FD 20 38 03 C3 DB 10 21 00 00 39 CD 04 21 26 -@00001120 00 C1 C9 3B CD C5 10 21 40 00 7D CD 4E 22 E5 CD -@00001130 B9 0F C1 21 00 00 7D CD 4E 22 E5 CD B9 0F C1 21 -@00001140 00 00 7D CD 4E 22 E5 CD B9 0F C1 21 00 00 7D CD -@00001150 4E 22 E5 CD B9 0F C1 21 00 00 7D CD 4E 22 E5 CD -@00001160 B9 0F C1 21 95 00 7D CD 4E 22 E5 CD B9 0F C1 21 -@00001170 00 00 39 E5 CD DA 0F 7D CD 4E 22 D1 7D 12 21 00 -@00001180 00 39 CD 04 21 26 00 33 C9 3B CD C5 10 21 48 00 -@00001190 7D CD 4E 22 E5 CD B9 0F C1 21 00 00 7D CD 4E 22 -@000011A0 E5 CD B9 0F C1 21 00 00 7D CD 4E 22 E5 CD B9 0F -@000011B0 C1 21 01 00 7D CD 4E 22 E5 CD B9 0F C1 21 AA 00 -@000011C0 7D CD 4E 22 E5 CD B9 0F C1 21 87 00 7D CD 4E 22 -@000011D0 E5 CD B9 0F C1 21 00 00 39 E5 CD DA 0F 7D CD 4E -@000011E0 22 D1 7D 12 CD C4 0F CD C4 0F CD C4 0F CD C4 0F -@000011F0 CD C4 0F 21 00 00 39 CD 04 21 26 00 33 C9 3B 21 -@00001200 77 00 7D CD 4E 22 E5 CD B9 0F C1 21 00 00 7D CD -@00001210 4E 22 E5 CD B9 0F C1 21 00 00 7D CD 4E 22 E5 CD -@00001220 B9 0F C1 21 00 00 7D CD 4E 22 E5 CD B9 0F C1 21 -@00001230 00 00 7D CD 4E 22 E5 CD B9 0F C1 21 FF 00 7D CD -@00001240 4E 22 E5 CD B9 0F C1 21 00 00 39 E5 CD DA 0F 7D -@00001250 CD 4E 22 D1 7D 12 21 00 00 CD 70 22 21 01 00 D1 -@00001260 CD 22 21 D2 6B 12 21 FF 00 33 C9 CD C5 10 21 69 -@00001270 00 7D CD 4E 22 E5 CD B9 0F C1 21 03 00 39 6E 26 -@00001280 00 E5 CD B9 0F C1 21 00 00 7D CD 4E 22 E5 CD B9 -@00001290 0F C1 21 00 00 7D CD 4E 22 E5 CD B9 0F C1 21 00 -@000012A0 00 7D CD 4E 22 E5 CD B9 0F C1 21 FF 00 7D CD 4E -@000012B0 22 E5 CD B9 0F C1 21 00 00 39 E5 CD DA 0F 7D CD -@000012C0 4E 22 D1 7D 12 CD C4 0F CD C4 0F 21 00 00 39 CD -@000012D0 04 21 26 00 33 C9 C5 CD C5 10 21 7A 00 7D CD 4E -@000012E0 22 E5 CD B9 0F C1 21 00 00 7D CD 4E 22 E5 CD B9 -@000012F0 0F C1 21 00 00 7D CD 4E 22 E5 CD B9 0F C1 21 00 -@00001300 00 7D CD 4E 22 E5 CD B9 0F C1 21 00 00 7D CD 4E -@00001310 22 E5 CD B9 0F C1 21 FF 00 7D CD 4E 22 E5 CD B9 -@00001320 0F C1 21 01 00 39 E5 CD DA 0F 7D CD 4E 22 D1 7D -@00001330 12 21 00 00 39 E5 CD D1 0F D1 7D 12 21 00 00 39 -@00001340 7E FE C0 C2 55 13 21 01 00 7D CD 4E 22 E5 CD 89 -@00001350 0F C1 C3 61 13 21 00 00 7D CD 4E 22 E5 CD 89 0F -@00001360 C1 CD C4 0F CD C4 0F CD C4 0F CD C4 0F CD C4 0F -@00001370 21 01 00 39 CD 04 21 26 00 C1 C9 3B 21 7F 00 7D -@00001380 CD 4E 22 E5 CD 98 0F C1 CD A4 0F 21 00 00 39 36 -@00001390 10 6E 26 00 C3 9C 13 21 00 00 39 35 21 00 00 39 -@000013A0 7E A7 CA B4 13 21 FF 00 7D CD 4E 22 E5 CD B9 0F -@000013B0 C1 C3 97 13 CD AB 0F 21 FF 00 7D CD 4E 22 E5 CD -@000013C0 B9 0F C1 21 FF 00 7D CD 4E 22 E5 CD B9 0F C1 CD -@000013D0 A4 0F 21 00 00 39 36 FF 6E 26 00 CD 23 11 11 01 -@000013E0 00 EB CD 35 22 D2 0F 14 21 00 00 39 6E 26 00 7C -@000013F0 B5 C2 FC 13 CD AB 0F 21 00 00 33 C9 21 00 00 39 -@00001400 E5 21 02 00 39 6E 26 00 2B D1 7D 12 C3 DB 13 CD -@00001410 89 11 2B 7C B5 C2 6F 14 CD C4 0F 21 00 00 39 36 -@00001420 FF 21 40 00 E5 CD FE 11 C1 11 01 00 EB CD 85 20 -@00001430 7C B5 CA 5C 14 21 00 00 39 6E 26 00 7C B5 C2 49 -@00001440 14 CD AB 0F 21 00 00 33 C9 21 00 00 39 E5 21 02 -@00001450 00 39 6E 26 00 2B D1 7D 12 C3 21 14 CD D6 12 7C -@00001460 B5 CA 6C 14 CD AB 0F 21 00 00 33 C9 C3 CD 14 21 -@00001470 00 00 E5 CD FE 11 C1 11 01 00 EB CD 7A 22 D2 C5 -@00001480 14 21 00 00 39 36 FF 21 00 00 E5 CD FE 11 C1 11 -@00001490 01 00 EB CD 85 20 7C B5 CA C2 14 21 00 00 39 6E -@000014A0 26 00 7C B5 C2 AF 14 CD AB 0F 21 00 00 33 C9 21 -@000014B0 00 00 39 E5 21 02 00 39 6E 26 00 2B D1 7D 12 C3 -@000014C0 87 14 C3 CD 14 CD AB 0F 21 00 00 33 C9 CD AB 0F -@000014D0 21 00 00 7D CD 4E 22 E5 CD 98 0F C1 21 01 00 33 -@000014E0 C9 21 02 00 39 5E 23 56 EB 01 02 00 3E FF D3 C1 -@000014F0 DB 00 E6 80 28 FA DB 01 77 23 10 F0 0D 20 ED C9 -@00001500 C5 C5 C5 21 02 00 39 E5 21 0A 00 39 CD 18 21 3E -@00001510 09 CD 88 22 C1 CD 42 22 2A 87 0F 7E FE 01 C2 31 -@00001520 15 21 02 00 39 E5 21 0A 00 39 CD 18 21 C1 CD 42 -@00001530 22 CD C5 10 CD A4 0F 21 51 00 7D CD 4E 22 E5 CD -@00001540 B9 0F C1 21 02 00 39 CD 18 21 0E 18 CD 58 21 D5 -@00001550 E5 21 FF 00 11 00 00 CD 46 21 7D CD 4E 22 E5 CD -@00001560 B9 0F C1 21 02 00 39 CD 18 21 0E 10 CD 58 21 D5 -@00001570 E5 21 FF 00 11 00 00 CD 46 21 7D CD 4E 22 E5 CD -@00001580 B9 0F C1 21 02 00 39 CD 18 21 0E 08 CD 58 21 D5 -@00001590 E5 21 FF 00 11 00 00 CD 46 21 7D CD 4E 22 E5 CD -@000015A0 B9 0F C1 21 02 00 39 CD 18 21 D5 E5 21 FF 00 11 -@000015B0 00 00 CD 46 21 7D CD 4E 22 E5 CD B9 0F C1 21 FF -@000015C0 00 7D CD 4E 22 E5 CD B9 0F C1 21 01 00 39 E5 CD -@000015D0 DA 0F 7D CD 4E 22 D1 7D 12 21 01 00 CD 70 22 21 -@000015E0 80 00 D1 CD 85 20 7C B5 CA F5 15 CD AB 0F 21 00 -@000015F0 00 C1 C1 C1 C9 21 00 00 39 E5 21 FF 00 7D CD 4E -@00001600 22 D1 7D 12 CD D1 0F 11 FE 00 EB CD 35 22 D2 3E -@00001610 16 21 00 00 39 CD 04 21 7C B5 C2 27 16 CD AB 0F -@00001620 21 00 00 C1 C1 C1 C9 21 00 00 39 E5 21 02 00 39 -@00001630 CD 04 21 2B 7D CD 4E 22 D1 7D 12 C3 04 16 21 0C -@00001640 00 39 CD 0A 21 E5 CD E1 14 C1 CD C4 0F CD C4 0F -@00001650 CD C4 0F CD AB 0F 21 01 00 C1 C1 C1 C9 10 C0 00 -@00001660 C1 00 C3 00 C5 C1 E1 E5 C5 6E 26 00 E5 21 04 00 -@00001670 39 CD 0A 21 23 5E 16 00 2E 08 CD 94 22 D1 CD 09 -@00001680 23 E5 21 04 00 39 CD 0A 21 23 23 5E 16 00 2E 10 -@00001690 CD 94 22 D1 CD 09 23 E5 21 04 00 39 CD 0A 21 23 -@000016A0 23 23 5E 16 00 2E 18 CD 94 22 D1 CD 09 23 CD 2E -@000016B0 21 C9 C1 E1 E5 C5 6E 26 00 E5 21 04 00 39 CD 0A -@000016C0 21 23 5E 16 00 2E 08 CD 94 22 D1 CD 09 23 C9 C5 -@000016D0 C5 21 00 00 39 E5 21 00 00 CD 2E 21 C1 CD 42 22 -@000016E0 2A 61 16 E5 21 02 00 39 CD 18 21 D5 E5 CD 00 15 -@000016F0 C1 C1 C1 CD 36 21 D2 07 17 21 DF 1F E5 CD 5E 0D -@00001700 C1 21 00 00 C1 C1 C9 2A 61 16 01 FE 01 09 7E FE -@00001710 55 C2 21 17 2A 61 16 01 FF 01 09 7E FE AA CA 2F -@00001720 17 21 F2 1F E5 CD 5E 0D C1 21 00 00 C1 C1 C9 2A -@00001730 61 16 01 C2 01 09 6E 26 00 7D FE 06 CA 47 17 FE -@00001740 0B CA 58 17 C3 69 17 2A 5D 16 E5 21 00 00 7D CD -@00001750 4E 22 D1 7D 12 C3 77 17 2A 5D 16 E5 21 01 00 7D -@00001760 CD 4E 22 D1 7D 12 C3 77 17 21 FD 1F E5 CD 5E 0D -@00001770 C1 21 00 00 C1 C1 C9 21 00 00 39 E5 2A 61 16 01 -@00001780 C6 01 09 E5 CD 65 16 C1 C1 CD 42 22 2A 61 16 E5 -@00001790 21 02 00 39 CD 18 21 D5 E5 CD 00 15 C1 C1 C1 CD -@000017A0 36 21 D2 B3 17 21 26 20 E5 CD 5E 0D C1 21 00 00 -@000017B0 C1 C1 C9 2A 61 16 01 FE 01 09 7E FE 55 C2 CD 17 -@000017C0 2A 61 16 01 FF 01 09 7E FE AA CA DB 17 21 47 20 -@000017D0 E5 CD 5E 0D C1 21 00 00 C1 C1 C9 2A 61 16 01 0B -@000017E0 00 09 7E FE 00 C2 F5 17 2A 61 16 01 0C 00 09 7E -@000017F0 FE 02 CA 21 18 21 5A 20 E5 CD 5E 0D C1 2A 61 16 -@00001800 01 0B 00 09 6E 26 00 E5 CD 21 0E C1 2A 61 16 01 -@00001810 0C 00 09 6E 26 00 E5 CD 21 0E C1 21 00 00 C1 C1 -@00001820 C9 2A 5D 16 23 E5 2A 61 16 01 0D 00 09 6E 26 00 -@00001830 7D CD 4E 22 D1 7D 12 2A 5D 16 23 23 E5 21 02 00 -@00001840 39 CD 18 21 D5 E5 2A 61 16 01 0E 00 09 E5 CD B2 -@00001850 16 C1 CD 2E 21 CD 3B 21 C1 CD 42 22 2A 5D 16 CD -@00001860 04 21 7C B5 CA 6D 18 CD 76 18 C3 70 18 CD FC 18 -@00001870 21 01 00 C1 C1 C9 C5 C5 3B 21 04 00 39 E5 2A 61 -@00001880 16 01 10 00 09 7E D1 12 21 00 00 39 E5 2A 61 16 -@00001890 01 24 00 09 E5 CD 65 16 C1 C1 CD 42 22 2A 5D 16 -@000018A0 01 06 00 09 E5 2A 5D 16 23 23 CD 18 21 C1 CD 42 -@000018B0 22 C3 B9 18 21 04 00 39 35 21 04 00 39 7E A7 CA -@000018C0 E0 18 2A 5D 16 01 06 00 09 E5 CD 18 21 D5 E5 21 -@000018D0 06 00 39 CD 18 21 CD 3B 21 C1 CD 42 22 C3 B4 18 -@000018E0 2A 5D 16 01 12 00 09 E5 2A 61 16 01 2C 00 09 E5 -@000018F0 CD 65 16 C1 C1 CD 42 22 33 C1 C1 C9 C5 3B 21 02 -@00001900 00 39 E5 2A 61 16 01 10 00 09 7E D1 12 2A 61 16 -@00001910 01 16 00 09 E5 CD B2 16 C1 C1 E5 2A 5D 16 01 12 -@00001920 00 09 E5 2A 5D 16 23 23 CD 18 21 C1 CD 42 22 C3 -@00001930 37 19 21 02 00 39 35 21 02 00 39 7E A7 CA 61 19 -@00001940 2A 5D 16 01 12 00 09 E5 CD 18 21 D5 E5 21 06 00 -@00001950 39 CD 0A 21 CD 2E 21 CD 3B 21 C1 CD 42 22 C3 32 -@00001960 19 2A 5D 16 01 16 00 09 E5 2A 61 16 01 11 00 09 -@00001970 E5 CD B2 16 C1 EB 2E 04 CD 66 22 D1 CD 3C 22 2A -@00001980 5D 16 01 06 00 09 E5 2A 5D 16 01 12 00 09 CD 18 -@00001990 21 D5 E5 2A 5D 16 01 16 00 09 CD 0A 21 CD 2E 21 -@000019A0 CD 3B 21 C1 CD 42 22 33 C1 C9 21 02 00 39 E5 CD -@000019B0 18 21 D5 E5 2A 5D 16 23 23 CD 18 21 CD 3B 21 C1 -@000019C0 CD 42 22 2A 5D 16 01 0E 00 09 CD 18 21 D5 E5 21 -@000019D0 06 00 39 CD 18 21 CD A7 22 D2 E0 19 21 01 00 C9 -@000019E0 2A 5F 16 E5 21 04 00 39 CD 18 21 D5 E5 CD 00 15 -@000019F0 C1 C1 C1 7C B5 CA 0F 1A 2A 5D 16 01 0E 00 09 E5 -@00001A00 21 04 00 39 CD 18 21 C1 CD 42 22 21 01 00 C9 21 -@00001A10 00 00 C9 21 06 00 CD 0F 21 21 04 00 39 CD 18 21 -@00001A20 D5 E5 CD 00 15 C1 C1 C1 C9 2A 5D 16 01 06 00 09 -@00001A30 CD 18 21 D5 E5 21 06 00 39 CD 18 21 D5 E5 21 FE -@00001A40 FF 11 FF FF CD 3B 21 D5 E5 2A 5D 16 23 CD 04 21 -@00001A50 CD 2E 21 CD C6 22 CD 3B 21 C9 C5 C5 21 00 00 39 -@00001A60 E5 2A 5D 16 CD 04 21 7C B5 CA 7B 1A 21 08 00 39 -@00001A70 CD 18 21 0E 07 CD 58 21 C3 87 1A 21 08 00 39 CD -@00001A80 18 21 0E 08 CD 58 21 C1 CD 42 22 21 00 00 39 CD -@00001A90 18 21 D5 E5 CD AA 19 C1 C1 CD 36 21 D2 A8 1A 21 -@00001AA0 00 00 CD 2E 21 C1 C1 C9 2A 5D 16 CD 04 21 7C B5 -@00001AB0 CA D8 1A 2A 5F 16 E5 21 08 00 39 CD 18 21 D5 E5 -@00001AC0 21 7F 00 11 00 00 CD 46 21 3E 02 CD 88 22 D1 19 -@00001AD0 E5 CD 65 16 C1 C1 C1 C9 2A 5F 16 E5 21 08 00 39 -@00001AE0 CD 18 21 D5 E5 21 FF 00 11 00 00 CD 46 21 3E 01 -@00001AF0 CD 88 22 D1 19 E5 CD B2 16 C1 CD 2E 21 C1 C1 C9 -@00001B00 C1 C1 C9 2A 5D 16 CD 04 21 7C B5 CA 31 1B 21 02 -@00001B10 00 39 CD 18 21 D5 E5 21 F8 FF 11 FF FF CD 46 21 -@00001B20 D5 E5 21 F8 FF 11 FF FF CD A7 22 21 00 00 CB 15 -@00001B30 C9 21 02 00 39 CD 18 21 D5 E5 21 F8 FF 11 00 00 -@00001B40 CD 46 21 D5 E5 21 F8 FF 11 00 00 CD A7 22 21 00 -@00001B50 00 CB 15 C9 C9 21 06 00 CD 0F 21 21 04 00 39 CD -@00001B60 18 21 C1 CD 42 22 21 06 00 39 CD 0A 21 01 04 00 -@00001B70 09 E5 21 04 00 39 CD 18 21 D5 E5 CD 29 1A C1 C1 -@00001B80 C1 CD 42 22 21 01 00 C9 C5 21 06 00 39 CD 0A 21 -@00001B90 01 04 00 09 CD 18 21 D5 E5 21 0A 00 39 CD 0A 21 -@00001BA0 CD 18 21 CD A1 22 D5 E5 CD 29 1A C1 C1 CD A7 22 -@00001BB0 D2 0A 1C 21 06 00 CD 0F 21 21 08 00 39 CD 0A 21 -@00001BC0 CD 18 21 D5 E5 CD 5A 1A C1 C1 C1 CD 42 22 21 06 -@00001BD0 00 39 CD 0A 21 CD 18 21 D5 E5 CD 03 1B C1 C1 7C -@00001BE0 B5 CA E9 1B 21 FF FF C1 C9 21 06 00 39 CD 0A 21 -@00001BF0 01 04 00 09 E5 21 08 00 39 CD 0A 21 CD 18 21 D5 -@00001C00 E5 CD 29 1A C1 C1 C1 CD 42 22 21 04 00 CD 0F 21 -@00001C10 21 08 00 39 CD 0A 21 01 04 00 09 E5 CD 18 21 CD -@00001C20 A1 22 C1 CD 42 22 CD 9B 22 D5 E5 CD 00 15 C1 C1 -@00001C30 C1 C1 C9 21 00 00 E5 C3 3E 1C E1 23 E5 2B 21 00 -@00001C40 00 CD 0F 21 21 00 01 D1 CD 29 22 D2 6A 1C 2A 63 -@00001C50 16 E5 C1 E1 E5 C5 29 29 29 29 D1 19 E5 21 00 00 -@00001C60 7D CD 4E 22 D1 7D 12 C3 3A 1C C1 C9 3B 21 03 00 -@00001C70 39 CD 0A 21 7E FE E5 C2 7F 1C 21 00 00 33 C9 21 -@00001C80 03 00 39 CD 0A 21 01 0B 00 09 7E E6 0D CA 95 1C -@00001C90 21 00 00 33 C9 21 05 00 CD 0F 21 21 05 00 39 CD -@00001CA0 0A 21 01 0B 00 09 7E E6 10 5F 16 00 21 10 00 CD -@00001CB0 10 23 11 01 00 EB CD 09 23 7D CD 4E 22 D1 7D 12 -@00001CC0 21 00 00 39 36 00 6E 26 00 C3 D5 1C 21 00 00 39 -@00001CD0 34 6E 26 00 2D 21 00 00 39 7E FE 0B CA 0E 1D D2 -@00001CE0 0E 1D 21 05 00 39 CD 0A 21 23 EB 21 00 00 39 6E -@00001CF0 26 00 19 E5 21 05 00 39 34 7E 23 20 01 34 66 6F -@00001D00 2B 6E 26 00 7D CD 4E 22 D1 7D 12 C3 CC 1C 21 05 -@00001D10 00 39 CD 0A 21 01 0C 00 09 E5 21 05 00 39 CD 0A -@00001D20 21 01 0F 00 09 E5 CD B2 16 C1 CD 2E 21 C1 CD 42 -@00001D30 22 2A 5D 16 CD 04 21 7C B5 CA 6B 1D 21 05 00 39 -@00001D40 CD 0A 21 01 0C 00 09 E5 CD 18 21 D5 E5 21 09 00 -@00001D50 39 CD 0A 21 01 09 00 09 E5 CD B2 16 C1 CD 2E 21 -@00001D60 EB 21 00 00 CD F7 22 C1 CD 42 22 21 01 00 33 C9 -@00001D70 3B 21 00 00 39 36 10 6E 26 00 C3 82 1D 21 00 00 -@00001D80 39 35 21 00 00 39 7E A7 CA F1 1D 21 03 00 39 CD -@00001D90 0A 21 7E A7 C2 AA 1D 21 05 00 39 CD 0A 21 CD 0A -@00001DA0 21 E5 D1 AF 12 21 01 00 33 C9 21 05 00 39 CD 0A -@00001DB0 21 5E 23 56 D5 21 05 00 39 CD 0A 21 E5 CD 6C 1C -@00001DC0 C1 C1 7C B5 CA DE 1D 21 05 00 39 CD 0A 21 E5 CD -@00001DD0 0A 21 01 10 00 09 D1 CD 3C 22 01 F0 FF 09 21 03 -@00001DE0 00 39 E5 CD 0A 21 01 20 00 09 D1 CD 3C 22 C3 7D -@00001DF0 1D 21 00 00 33 C9 C5 C5 C5 C5 3B CD 33 1C 21 05 -@00001E00 00 39 E5 2A 5D 16 01 12 00 09 CD 18 21 C1 CD 42 -@00001E10 22 21 03 00 39 EB 2A 63 16 CD 3C 22 21 00 00 39 -@00001E20 E5 2A 5D 16 01 16 00 09 CD 0A 21 D1 7D 12 C3 36 -@00001E30 1E 21 00 00 39 35 21 00 00 39 7E A7 CA 91 1E 2A -@00001E40 61 16 E5 21 07 00 39 CD 18 21 D5 E5 CD 00 15 C1 -@00001E50 C1 C1 CD 36 21 D2 69 1E 21 70 20 E5 CD 5E 0D C1 -@00001E60 21 00 00 33 C1 C1 C1 C1 C9 21 03 00 39 E5 2A 61 -@00001E70 16 E5 CD 70 1D C1 C1 7C B5 C2 91 1E 21 05 00 39 -@00001E80 E5 CD 18 21 CD A1 22 C1 CD 42 22 CD 9B 22 C3 31 -@00001E90 1E 21 03 00 39 CD 0A 21 CD 0A 21 E5 D1 AF 12 2A -@00001EA0 63 16 33 C1 C1 C1 C1 C9 C5 C5 C5 C5 C5 2A 5D 16 -@00001EB0 CD 04 21 CD 36 21 D2 D0 1E 21 0C 00 39 CD 18 21 -@00001EC0 7C B5 B2 B3 C2 D0 1E CD F6 1D C1 C1 C1 C1 C1 C9 -@00001ED0 CD 33 1C 21 06 00 39 E5 21 0E 00 39 CD 18 21 C1 -@00001EE0 CD 42 22 2A 63 16 C1 E5 3B 21 03 00 39 E5 21 09 -@00001EF0 00 39 CD 18 21 D5 E5 CD 29 1A C1 C1 C1 CD 42 22 -@00001F00 21 00 00 39 36 08 6E 26 00 C3 11 1F 21 00 00 39 -@00001F10 35 21 00 00 39 7E A7 CA 64 1F 2A 61 16 E5 21 05 -@00001F20 00 39 CD 18 21 D5 E5 CD 00 15 C1 C1 C1 CD 36 21 -@00001F30 D2 3C 1F 21 0B 00 39 F9 21 00 00 C9 21 01 00 39 -@00001F40 E5 2A 61 16 E5 CD 70 1D C1 C1 7C B5 C2 B9 1F 21 -@00001F50 03 00 39 E5 CD 18 21 CD A1 22 C1 CD 42 22 CD 9B -@00001F60 22 C3 0C 1F 21 07 00 39 E5 21 09 00 39 CD 18 21 -@00001F70 D5 E5 CD 5A 1A C1 C1 C1 CD 42 22 21 07 00 39 CD -@00001F80 18 21 7C B5 B2 B3 C2 92 1F 21 0B 00 39 F9 21 00 -@00001F90 00 C9 33 21 06 00 39 CD 18 21 D5 E5 CD 03 1B C1 -@00001FA0 C1 CD 36 21 DA E8 1E E1 E5 CD 0A 21 E5 D1 AF 12 -@00001FB0 2A 63 16 C1 C1 C1 C1 C1 C9 33 C3 A7 1F 2A 5D 16 -@00001FC0 CD 04 21 7C B5 CA DA 1F 2A 5D 16 01 12 00 09 CD -@00001FD0 18 21 D5 E5 CD A8 1E C1 C1 C9 CD F6 1D C9 C9 45 -@00001FE0 72 72 6F 72 20 6C 6F 61 64 69 6E 67 20 4D 42 52 -@00001FF0 0D 00 57 72 6F 6E 67 20 4D 42 52 0D 00 55 6E 6B -@00002000 6E 6F 77 6E 20 66 69 6C 65 73 79 73 74 65 6D 20 -@00002010 74 79 70 65 20 28 46 41 54 31 36 2F 33 32 20 6F -@00002020 6E 6C 79 29 0D 00 45 72 72 6F 72 20 77 68 69 6C -@00002030 65 20 6C 6F 61 64 69 6E 67 20 62 6F 6F 74 20 73 -@00002040 65 63 74 6F 72 0D 00 57 72 6F 6E 67 20 62 6F 6F -@00002050 74 20 72 65 63 6F 72 64 0D 00 73 65 63 74 6F 72 -@00002060 20 73 69 7A 65 20 21 3D 20 30 78 32 30 30 0D 00 -@00002070 65 72 72 6F 72 20 77 68 69 6C 65 20 72 65 61 64 -@00002080 69 6E 67 0D 00 7D A3 6F 7C A2 67 C9 EB E1 4E 23 -@00002090 46 23 78 B1 28 0C 7E 23 BB 7E 23 20 F1 BA 20 EE -@000020A0 60 69 E9 7C 2F 67 7D 2F 6F C9 7C B5 C8 EB 7C E6 -@000020B0 80 47 28 06 97 95 6F 9F 94 67 7A E6 80 4F 28 06 -@000020C0 97 93 5F 9F 92 57 B7 C5 CD E2 20 C1 EB 79 A8 FC -@000020D0 D7 20 78 EB E6 80 C8 97 93 5F 9F 92 57 C9 7C B5 -@000020E0 C8 EB 06 10 7C 4D 21 00 00 CB 11 17 ED 6A ED 52 -@000020F0 30 01 19 3F 10 F3 CB 11 17 57 59 EB C9 A7 ED 52 -@00002100 3F C8 A7 C9 7E 6F 07 9F 67 C9 7E 23 66 6F C9 39 -@00002110 23 23 7E 23 66 6F E3 E9 5E 23 56 23 7E 23 66 6F -@00002120 EB C9 7A C6 80 47 7C C6 80 B8 C0 7D BB C9 11 00 -@00002130 00 CB 7C C8 1B C9 7C B5 C0 37 C9 DD E1 C1 09 EB -@00002140 C1 ED 4A EB DD E9 DD E1 C1 79 A5 6F 78 A4 67 C1 -@00002150 79 A3 5F 78 A2 57 DD E9 79 B7 C8 47 7B CB 2A 1F -@00002160 CB 1C CB 1D 10 F7 5F C9 7A B3 B4 B5 CA 10 22 F1 -@00002170 E5 D9 D1 C1 21 00 00 D9 C1 21 00 00 F5 79 4A C5 -@00002180 4F CB 78 28 14 D9 79 2F 4F 78 2F 47 78 B1 03 D9 -@00002190 79 2F 4F 78 2F 47 20 01 03 CB 7A 28 14 D9 7B 2F -@000021A0 5F 7A 2F 57 7A B3 13 D9 7B 2F 5F 7A 2F 57 20 01 -@000021B0 13 CD D8 21 C1 78 A9 FC 17 22 78 E6 80 F0 D9 CD -@000021C0 17 22 D9 C9 7A B3 B4 B5 28 46 F1 E5 D9 D1 C1 21 -@000021D0 00 00 D9 C1 21 00 00 F5 3E 20 B7 D9 CB 11 CB 10 -@000021E0 D9 CB 11 CB 10 D9 ED 6A D9 ED 6A D9 ED 52 D9 ED -@000021F0 52 30 05 D9 19 D9 ED 5A 3F 3D C2 DB 21 D9 CB 11 -@00002200 CB 10 D9 CB 11 CB 10 E5 D9 D1 C5 D9 E1 59 50 C9 -@00002210 D9 C1 E1 D1 C5 D9 C9 7D 2F 6F 7C 2F 67 7B 2F 5F -@00002220 7A 2F 57 2C C0 24 C0 13 C9 7C C6 80 47 7A C6 80 -@00002230 B8 C0 7B BD C9 B7 ED 52 37 C0 3F C9 7D 12 13 7C -@00002240 12 C9 7D 02 03 7C 02 03 7B 02 03 7A 02 C9 6F 07 -@00002250 9F 67 C9 7C BA C0 7D BB C9 7A BC C0 7B BD C9 DB -@00002260 DC 2F 26 00 6F C9 EB 1D F8 CB 2C CB 1D C3 67 22 -@00002270 39 23 23 7E 6F 07 9F 67 E3 E9 7A C6 80 47 7C C6 -@00002280 80 B8 3F C0 7D BB 3F C9 B7 C8 47 7B 29 17 CB 12 -@00002290 10 FA 5F C9 EB 1D F8 29 C3 95 22 7C B5 2B C0 1B -@000022A0 C9 2C C0 24 C0 13 C9 DD E1 C1 79 BD C2 C2 22 78 -@000022B0 BC C2 C2 22 C1 79 BB C2 C3 22 78 BA C2 C3 22 37 -@000022C0 DD E9 C1 B7 DD E9 F1 E5 D9 D1 C1 21 00 00 D9 C1 -@000022D0 21 00 00 F5 3E 20 CB 38 CB 19 D9 CB 18 CB 19 30 -@000022E0 05 19 D9 ED 5A D9 CB 23 CB 12 D9 CB 13 CB 12 3D -@000022F0 C2 D6 22 E5 D9 D1 C9 DD E1 C1 79 B5 6F 78 B4 67 -@00002300 C1 79 B3 5F 78 B2 57 DD E9 7D B3 6F 7C B2 67 C9 -@00002310 7D AB 6F 7C AA 67 C9 +@000001C0 23 E5 D5 2B 21 02 00 CD 89 21 21 20 00 D1 CD A3 +@000001D0 22 D2 E3 01 E1 23 E5 2B CD 7E 21 E5 CD 66 0C C1 +@000001E0 C3 BE 01 21 00 3F E5 CD 5A 0C C1 21 D0 00 7D CD +@000001F0 C8 22 E5 CD 66 0C C1 CD 70 0C CD A4 0C 21 03 00 +@00000200 E5 21 00 00 E5 CD C3 0C C1 C1 21 7A 0B E5 CD 46 +@00000210 0D C1 21 03 00 E5 21 01 00 E5 CD C3 0C C1 C1 21 +@00000220 94 0B E5 CD 46 0D C1 21 00 00 D1 C1 E5 D5 CD CC +@00000230 13 CD B0 21 D2 42 02 21 AE 0B E5 CD 46 0D C1 C3 +@00000240 52 02 CD 40 17 CD B0 21 DA 52 02 21 01 00 D1 C1 +@00000250 E5 D5 C1 E1 E5 C5 E5 CD 61 02 C1 C3 F7 01 C1 C1 +@00000260 C9 21 00 00 E5 21 09 00 E5 21 0A 00 E5 CD C3 0C +@00000270 C1 C1 21 04 00 39 CD 84 21 7C B5 CA 84 02 CD 2D +@00000280 03 C3 8C 02 21 CE 0B E5 CD 46 0D C1 C5 21 06 00 +@00000290 E5 21 0A 00 E5 CD C3 0C C1 C1 C1 E1 E5 C5 7C B5 +@000002A0 C2 AE 02 21 E0 0B E5 CD 46 0D C1 C3 B6 02 21 E2 +@000002B0 0B E5 CD 46 0D C1 21 06 00 E5 21 0C 00 E5 CD C3 +@000002C0 0C C1 C1 21 02 00 CD 89 21 21 01 00 D1 CD 77 21 +@000002D0 D2 DE 02 21 E0 0B E5 CD 46 0D C1 C3 E6 02 21 E2 +@000002E0 0B E5 CD 46 0D C1 CD 30 0B C1 E5 CD 06 21 FF 02 +@000002F0 01 00 09 03 10 00 09 03 20 00 00 00 C3 27 03 21 +@00000300 00 00 D1 C1 E5 D5 C3 27 03 C1 E1 E5 C5 7C B5 C2 +@00000310 21 03 21 06 00 39 CD 84 21 7C B5 C4 2D 03 C3 24 +@00000320 03 CD 9B 09 C1 C1 C9 C1 C3 8C 02 C1 C9 21 00 00 +@00000330 E5 21 03 00 E5 C5 C5 C5 21 04 00 39 E5 CD 38 20 +@00000340 D1 CD B6 22 21 04 00 39 CD 84 21 7C B5 C2 5E 03 +@00000350 21 E5 0B E5 CD 46 0D C1 C1 C1 C1 C1 C1 C9 21 04 +@00000360 00 39 CD 84 21 D1 C1 E5 D5 21 04 00 39 CD 84 21 +@00000370 C1 E5 C5 21 04 00 CD 89 21 21 04 00 39 CD 84 21 +@00000380 E5 CD 95 0A C1 C1 CD D9 22 C1 E5 21 0A 00 39 34 +@00000390 7E 23 20 01 34 66 6F 21 0A 00 CD 89 21 21 14 00 +@000003A0 D1 CD 9C 21 D2 B2 03 21 08 00 39 11 03 00 EB CD +@000003B0 B6 22 21 00 00 CD 89 21 21 01 00 D1 CD FF 20 7C +@000003C0 B5 CA DD 03 21 0A 00 CD 89 21 21 0A 00 39 CD 84 +@000003D0 21 D1 CD 24 21 21 00 00 CD 77 21 38 03 C3 3E 04 +@000003E0 21 02 00 CD 89 21 21 08 00 39 CD 84 21 D1 CD AF +@000003F0 22 D2 33 04 21 02 00 39 E5 CD 84 21 01 F0 FF 09 +@00000400 D1 CD B6 22 01 10 00 09 21 08 00 39 36 08 23 36 +@00000410 00 21 02 00 CD 89 21 21 06 00 39 CD 84 21 D1 CD +@00000420 D3 22 D2 33 04 21 04 00 39 E5 2B 2B CD 84 21 D1 +@00000430 CD B6 22 21 0A 00 39 11 00 00 EB CD B6 22 21 00 +@00000440 00 CD 89 21 21 02 00 D1 CD FF 20 7C B5 CA 69 04 +@00000450 21 0A 00 CD 89 21 21 0A 00 39 CD 84 21 D1 CD 24 +@00000460 21 21 00 00 CD 77 21 38 03 C3 DB 04 C1 E1 E5 C5 +@00000470 01 10 00 09 CD 7E 21 7C B5 CA D0 04 21 02 00 39 +@00000480 E5 CD 84 21 01 10 00 09 D1 CD B6 22 01 F0 FF 09 +@00000490 21 08 00 39 36 08 23 36 00 21 02 00 CD 89 21 21 +@000004A0 06 00 39 CD 84 21 D1 EB A7 ED 52 EB 21 10 00 CD +@000004B0 58 21 11 13 00 EB CD 9C 21 D2 D0 04 21 04 00 39 +@000004C0 E5 CD 84 21 01 10 00 09 D1 CD B6 22 01 F0 FF 09 +@000004D0 21 0A 00 39 11 00 00 EB CD B6 22 21 00 00 CD 89 +@000004E0 21 21 04 00 D1 CD FF 20 7C B5 CA 06 05 21 0A 00 +@000004F0 CD 89 21 21 0A 00 39 CD 84 21 D1 CD 24 21 21 00 +@00000500 00 CD 77 21 38 03 C3 95 05 21 02 00 CD 89 21 21 +@00000510 08 00 39 CD 84 21 D1 CD AF 22 D2 34 05 21 02 00 +@00000520 CD 89 21 21 08 00 39 CD 84 21 01 40 00 09 D1 CD +@00000530 CD 22 38 03 C3 71 05 C1 E1 E5 C5 01 B0 FF 09 D1 +@00000540 C1 E5 D5 21 08 00 39 36 08 23 36 00 21 02 00 CD +@00000550 89 21 21 06 00 39 CD 84 21 D1 CD D3 22 D2 6E 05 +@00000560 21 04 00 39 E5 2B 2B CD 84 21 D1 CD B6 22 C3 8A +@00000570 05 21 06 00 39 CD 84 21 D1 C1 E5 D5 21 04 00 39 +@00000580 E5 2B 2B CD 84 21 D1 CD B6 22 21 0A 00 39 11 00 +@00000590 00 EB CD B6 22 21 00 00 CD 89 21 21 08 00 D1 CD +@000005A0 FF 20 7C B5 CA C0 05 21 0A 00 CD 89 21 21 0A 00 +@000005B0 39 CD 84 21 D1 CD 24 21 21 00 00 CD 77 21 38 03 +@000005C0 C3 23 07 C1 E1 E5 C5 01 50 00 09 CD 7E 21 11 00 +@000005D0 00 EB CD AF 22 D2 2B 06 C1 E1 E5 C5 01 40 00 09 +@000005E0 CD 7E 21 11 00 00 EB CD AF 22 D2 2B 06 C1 E1 E5 +@000005F0 C5 01 30 00 09 CD 7E 21 11 00 00 EB CD AF 22 D2 +@00000600 2B 06 C1 E1 E5 C5 01 20 00 09 CD 7E 21 11 00 00 +@00000610 EB CD AF 22 D2 2B 06 C1 E1 E5 C5 01 10 00 09 CD +@00000620 7E 21 11 00 00 EB CD AF 22 38 03 C3 79 06 C1 E1 +@00000630 E5 C5 01 50 00 09 D1 C1 E5 D5 21 08 00 39 36 08 +@00000640 23 36 00 21 02 00 CD 89 21 21 06 00 39 CD 84 21 +@00000650 D1 EB A7 ED 52 EB 21 10 00 CD 58 21 11 13 00 EB +@00000660 CD 9C 21 D2 76 06 21 04 00 39 E5 CD 84 21 01 50 +@00000670 00 09 D1 CD B6 22 C3 18 07 C1 E1 E5 C5 01 10 00 +@00000680 09 CD 7E 21 7C B5 C2 94 06 C1 E1 E5 C5 D1 C1 E5 +@00000690 D5 C3 0D 07 C1 E1 E5 C5 01 20 00 09 CD 7E 21 7C +@000006A0 B5 C2 B3 06 C1 E1 E5 C5 01 10 00 09 D1 C1 E5 D5 +@000006B0 C3 0D 07 C1 E1 E5 C5 01 30 00 09 CD 7E 21 7C B5 +@000006C0 C2 D2 06 C1 E1 E5 C5 01 20 00 09 D1 C1 E5 D5 C3 +@000006D0 0D 07 C1 E1 E5 C5 01 40 00 09 CD 7E 21 7C B5 C2 +@000006E0 F1 06 C1 E1 E5 C5 01 30 00 09 D1 C1 E5 D5 C3 0D +@000006F0 07 C1 E1 E5 C5 01 50 00 09 CD 7E 21 7C B5 C2 0D +@00000700 07 C1 E1 E5 C5 01 40 00 09 D1 C1 E5 D5 21 08 00 +@00000710 39 11 08 00 EB CD B6 22 21 0A 00 39 11 00 00 EB +@00000720 CD B6 22 21 00 00 CD 89 21 21 30 00 D1 CD FF 20 +@00000730 7C B5 CA 4E 07 21 0A 00 CD 89 21 21 0A 00 39 CD +@00000740 84 21 D1 CD 24 21 21 00 00 CD 77 21 38 03 C3 E0 +@00000750 07 C1 E1 E5 C5 CD 7E 21 11 10 00 EB CD FF 20 7C +@00000760 B5 C2 C3 07 21 06 00 39 E5 21 04 00 39 CD 84 21 +@00000770 01 0C 00 09 CD 92 21 D5 E5 CD 23 1F C1 C1 D1 CD +@00000780 B6 22 21 06 00 39 CD 84 21 7C B5 C2 9B 07 21 02 +@00000790 0C E5 CD 46 0D 21 0E 00 39 F9 C9 21 04 00 39 EB +@000007A0 21 06 00 39 CD 84 21 CD B6 22 21 06 00 39 CD 84 +@000007B0 21 D1 C1 E5 D5 21 08 00 39 11 0C 00 EB CD B6 22 +@000007C0 C3 D5 07 C1 E1 E5 C5 E5 CD EA 07 C1 CD 9B 09 21 +@000007D0 0C 00 39 F9 C9 21 0A 00 39 11 00 00 EB CD B6 22 +@000007E0 C1 C3 72 03 C1 C1 C1 C1 C1 C9 21 F2 FF 39 F9 CD +@000007F0 A4 0C 21 20 0C E5 CD 46 0D C1 21 04 00 39 11 00 +@00000800 00 EB CD B6 22 C3 15 08 21 04 00 39 34 7E 23 20 +@00000810 01 34 66 6F 2B 21 04 00 CD 89 21 21 08 00 D1 CD +@00000820 A3 22 D2 41 08 21 10 00 39 CD 84 21 23 EB 21 04 +@00000830 00 39 CD 84 21 19 CD 7E 21 E5 CD 8B 0C C1 C3 08 +@00000840 08 21 2E 00 7D CD C8 22 E5 CD 8B 0C C1 21 04 00 +@00000850 39 11 08 00 EB CD B6 22 C3 68 08 21 04 00 39 34 +@00000860 7E 23 20 01 34 66 6F 2B 21 04 00 CD 89 21 21 0B +@00000870 00 D1 CD A3 22 D2 94 08 21 10 00 39 CD 84 21 23 +@00000880 EB 21 04 00 39 CD 84 21 19 CD 7E 21 E5 CD 8B 0C +@00000890 C1 C3 5B 08 21 29 0C E5 CD 46 0D C1 21 06 00 39 +@000008A0 E5 21 12 00 39 CD 84 21 01 0C 00 09 CD 92 21 D5 +@000008B0 E5 CD D0 1B C1 C1 C1 21 00 00 39 E5 21 00 00 CD +@000008C0 A8 21 C1 CD BC 22 C5 21 02 00 39 CD 92 21 D5 E5 +@000008D0 21 FF 3F 11 00 00 CD C0 21 7C B5 B2 B3 C2 FE 08 +@000008E0 21 FF FF E5 21 04 00 39 CD 92 21 0E 0E CD D2 21 +@000008F0 D5 E5 21 FF 00 11 00 00 CD C0 21 D1 7D 12 21 02 +@00000900 00 39 CD 92 21 D5 E5 21 FF 3F 11 00 00 CD C0 21 +@00000910 11 00 80 19 C1 E5 21 08 00 39 E5 C1 E1 E5 C5 E5 +@00000920 CD 03 1C C1 C1 C1 E5 7C B5 C2 37 09 21 2C 0C E5 +@00000930 CD 46 0D C1 C3 91 09 21 00 00 CD 89 21 21 FF FF +@00000940 D1 CD 77 21 D2 58 09 21 00 00 E5 21 02 00 E5 CD +@00000950 C3 0C 21 14 00 39 F9 C9 21 02 00 39 E5 CD 92 21 +@00000960 D5 E5 21 00 02 11 00 00 CD B5 21 C1 CD BC 22 21 +@00000970 02 00 39 CD 92 21 D5 E5 21 00 40 11 00 00 CD E2 +@00000980 21 D9 7C B5 B2 B3 C2 91 09 21 46 0C E5 CD 46 0D +@00000990 C1 C1 C3 C6 08 21 0E 00 39 F9 C9 21 FD FF 36 00 +@000009A0 21 FE FF 36 01 21 FF FF 36 02 6E 26 00 D3 00 C9 +@000009B0 C5 C5 21 06 00 39 CD 84 21 CD 7E 21 11 10 00 EB +@000009C0 CD FF 20 11 00 00 EB CD 77 21 21 00 00 CB 15 D1 +@000009D0 C1 E5 D5 CD B0 21 D2 E8 09 21 20 00 7D CD C8 22 +@000009E0 E5 CD 8B 0C C1 C3 F4 09 21 5B 00 7D CD C8 22 E5 +@000009F0 CD 8B 0C C1 21 00 00 C1 E5 C3 00 0A E1 23 E5 2B +@00000A00 21 00 00 CD 89 21 21 08 00 D1 CD A3 22 D2 27 0A +@00000A10 21 06 00 39 CD 84 21 23 EB E1 E5 19 CD 7E 21 E5 +@00000A20 CD 8B 0C C1 C3 FC 09 21 2E 00 7D CD C8 22 E5 CD +@00000A30 8B 0C C1 21 00 00 C1 E5 C3 3F 0A E1 23 E5 2B 21 +@00000A40 00 00 CD 89 21 21 03 00 D1 CD A3 22 D2 6D 0A 21 +@00000A50 06 00 39 CD 84 21 23 E5 C1 E1 E5 C5 11 08 00 19 +@00000A60 D1 19 CD 7E 21 E5 CD 8B 0C C1 C3 3B 0A C1 E1 E5 +@00000A70 C5 CD B0 21 D2 86 0A 21 20 00 7D CD C8 22 E5 CD +@00000A80 8B 0C C1 C3 92 0A 21 5D 00 7D CD C8 22 E5 CD 8B +@00000A90 0C C1 C1 C1 C9 21 00 00 E5 C3 A0 0A E1 23 E5 2B +@00000AA0 21 00 00 CD 89 21 21 14 00 D1 CD A3 22 D2 2E 0B +@00000AB0 21 06 00 E5 C1 E1 E5 C5 11 04 00 19 E5 CD C3 0C +@00000AC0 C1 C1 21 06 00 CD 89 21 C1 E1 E5 C5 29 29 29 29 +@00000AD0 D1 19 EB 21 04 00 39 CD 84 21 CD 77 21 D2 EB 0A +@00000AE0 21 48 0C E5 CD 46 0D C1 C3 F3 0A 21 E2 0B E5 CD +@00000AF0 46 0D C1 21 06 00 CD 89 21 C1 E1 E5 C5 29 29 29 +@00000B00 29 D1 19 CD 7E 21 7C B5 CA 23 0B 21 06 00 CD 89 +@00000B10 21 C1 E1 E5 C5 29 29 29 29 D1 19 E5 CD B0 09 C1 +@00000B20 C3 2B 0B 21 4B 0C E5 CD 46 0D C1 C3 9C 0A C1 C9 +@00000B30 C5 C5 CD D9 22 D1 C1 E5 D5 CD D9 22 C1 E5 21 00 +@00000B40 00 CD 89 21 21 04 00 39 CD 84 21 CD 1D 21 D1 CD +@00000B50 FF 20 7C B5 CA 6E 0B 21 00 00 CD 89 21 21 04 00 +@00000B60 39 CD 84 21 CD 1D 21 D1 CD FF 20 C1 C1 C9 E1 E5 +@00000B70 D1 C1 E5 D5 C3 39 0B C1 C1 C9 4D 41 53 54 45 52 +@00000B80 20 53 59 53 54 45 4D 20 52 4F 4D 20 4C 4F 41 44 +@00000B90 45 52 0D 00 2D 2D 2D 2D 2D 2D 2D 2D 2D 2D 2D 2D +@00000BA0 2D 2D 2D 2D 2D 2D 2D 2D 2D 2D 2D 2D 0D 00 45 72 +@00000BB0 72 6F 72 20 69 6E 69 74 69 61 6C 69 7A 69 6E 67 +@00000BC0 20 53 44 2F 4D 4D 43 20 63 61 72 64 0D 00 72 65 +@00000BD0 74 72 79 20 53 44 2F 4D 4D 43 20 63 61 72 64 00 +@00000BE0 3E 00 20 20 00 45 72 72 6F 72 20 72 65 61 64 69 +@00000BF0 6E 67 20 72 6F 6F 74 20 64 69 72 65 63 74 6F 72 +@00000C00 79 00 45 72 72 6F 72 20 77 68 69 6C 65 20 72 65 +@00000C10 61 64 69 6E 67 20 64 69 72 65 63 74 6F 72 79 00 +@00000C20 4C 6F 61 64 69 6E 67 20 00 0D 0D 00 45 72 72 6F +@00000C30 72 20 77 68 69 6C 65 20 72 65 61 64 69 6E 67 20 +@00000C40 66 69 6C 65 0D 00 2E 00 3E 20 00 20 20 20 20 20 +@00000C50 20 20 20 20 20 20 20 20 20 00 21 02 00 39 7E D3 +@00000C60 BF 23 7E D3 BF C9 21 02 00 39 7E D3 BE C9 00 C0 +@00000C70 21 00 00 E5 E5 CD C3 0C C1 C1 2A 6E 0C 23 23 E5 +@00000C80 21 00 00 7D CD C8 22 D1 7D 12 C9 21 02 00 39 7E +@00000C90 D3 BE 3E 00 D3 BE 2A 6E 0C E5 CD 84 21 23 23 D1 +@00000CA0 CD B6 22 C9 3E 00 D3 BF 3E 38 D3 BF AF 2E 07 06 +@00000CB0 00 D3 BE 10 FC 2D 20 F7 21 00 00 E5 E5 CD C3 0C +@00000CC0 C1 C1 C9 21 02 00 CD 89 21 21 00 00 CD 89 21 C1 +@00000CD0 E1 E5 C5 D1 19 C1 E5 21 00 00 CD 89 21 C1 E1 E5 +@00000CE0 C5 D1 19 C1 E5 21 00 00 CD 89 21 C1 E1 E5 C5 D1 +@00000CF0 19 C1 E5 21 00 00 CD 89 21 C1 E1 E5 C5 D1 19 C1 +@00000D00 E5 21 00 00 CD 89 21 C1 E1 E5 C5 D1 19 C1 E5 21 +@00000D10 00 00 CD 89 21 21 08 00 39 CD 84 21 D1 19 C1 E5 +@00000D20 21 00 00 CD 89 21 C1 E1 E5 C5 D1 19 C1 E5 01 00 +@00000D30 38 09 C1 E5 2A 6E 0C EB E1 E5 CD B6 22 E1 E5 E5 +@00000D40 CD 5A 0C C1 C1 C9 C5 3B 2A 6E 0C CD 84 21 E5 CD +@00000D50 5A 0C C1 21 00 00 39 E5 21 07 00 39 34 7E 23 20 +@00000D60 01 34 66 6F 2B CD 7E 21 7D CD C8 22 D1 7D 12 21 +@00000D70 00 00 39 CD 7E 21 7D FE 00 CA 84 0D FE 0D CA 87 +@00000D80 0D C3 BD 0D 33 C1 C9 21 01 00 39 E5 2A 6E 0C 5E +@00000D90 23 56 21 C0 3F CD FF 20 01 40 00 09 D1 CD B6 22 +@00000DA0 ED 5B 6E 0C 21 01 00 39 CD 84 21 CD B6 22 21 01 +@00000DB0 00 39 CD 84 21 E5 CD 5A 0C C1 C3 C9 0D 21 00 00 +@00000DC0 39 CD 7E 21 E5 CD 8B 0C C1 C3 53 0D 33 C1 C9 21 +@00000DD0 02 00 CD 89 21 21 0A 00 D1 CD A3 22 D2 F3 0D C1 +@00000DE0 E1 E5 C5 11 30 00 19 7D CD C8 22 E5 CD 8B 0C C1 +@00000DF0 C3 08 0E C1 E1 E5 C5 01 F6 FF 09 11 61 00 19 7D +@00000E00 CD C8 22 E5 CD 8B 0C C1 C9 21 02 00 CD EA 22 21 +@00000E10 04 00 D1 CD E0 22 11 0F 00 EB CD FF 20 E5 CD CF +@00000E20 0D C1 21 02 00 CD EA 22 21 0F 00 D1 CD FF 20 E5 +@00000E30 CD CF 0D C1 C9 21 02 00 CD 89 21 21 0C 00 D1 CD +@00000E40 E0 22 11 0F 00 EB CD FF 20 E5 CD CF 0D C1 21 02 +@00000E50 00 CD 89 21 21 08 00 D1 CD E0 22 11 0F 00 EB CD +@00000E60 FF 20 E5 CD CF 0D C1 21 02 00 CD 89 21 21 04 00 +@00000E70 D1 CD E0 22 11 0F 00 EB CD FF 20 E5 CD CF 0D C1 +@00000E80 21 02 00 CD 89 21 21 0F 00 D1 CD FF 20 E5 CD CF +@00000E90 0D C1 C9 21 02 00 39 CD 92 21 0E 1C CD D2 21 D5 +@00000EA0 E5 21 0F 00 11 00 00 CD C0 21 E5 CD CF 0D C1 21 +@00000EB0 02 00 39 CD 92 21 0E 18 CD D2 21 D5 E5 21 0F 00 +@00000EC0 11 00 00 CD C0 21 E5 CD CF 0D C1 21 02 00 39 CD +@00000ED0 92 21 0E 14 CD D2 21 D5 E5 21 0F 00 11 00 00 CD +@00000EE0 C0 21 E5 CD CF 0D C1 21 02 00 39 CD 92 21 0E 10 +@00000EF0 CD D2 21 D5 E5 21 0F 00 11 00 00 CD C0 21 E5 CD +@00000F00 CF 0D C1 21 02 00 39 CD 92 21 0E 0C CD D2 21 D5 +@00000F10 E5 21 0F 00 11 00 00 CD C0 21 E5 CD CF 0D C1 21 +@00000F20 02 00 39 CD 92 21 0E 08 CD D2 21 D5 E5 21 0F 00 +@00000F30 11 00 00 CD C0 21 E5 CD CF 0D C1 21 02 00 39 CD +@00000F40 92 21 0E 04 CD D2 21 D5 E5 21 0F 00 11 00 00 CD +@00000F50 C0 21 E5 CD CF 0D C1 21 02 00 39 CD 92 21 D5 E5 +@00000F60 21 0F 00 11 00 00 CD C0 21 E5 CD CF 0D C1 C9 80 +@00000F70 C0 2A 6F 0F E5 21 04 00 39 CD 7E 21 D1 7D 12 C9 +@00000F80 21 02 00 39 7E E6 7F F6 80 D3 C0 C9 DB 00 E6 7F +@00000F90 D3 C0 C9 DB 00 F6 80 D3 C0 C9 DB 00 E6 80 28 FA +@00000FA0 C9 21 02 00 39 7E D3 C1 CD 9A 0F C9 21 FF 00 7D +@00000FB0 CD C8 22 E5 CD A1 0F C1 C9 CD AC 0F DB 01 6F 26 +@00000FC0 00 C9 C5 21 00 00 39 E5 21 0A 00 7D CD C8 22 D1 +@00000FD0 7D 12 C3 E1 0F 21 00 00 39 E5 CD 7E 21 2B D1 7D +@00000FE0 12 21 00 00 39 CD 7E 21 AF B4 FA 14 10 B5 CA 14 +@00000FF0 10 21 01 00 39 E5 CD B9 0F 7D CD C8 22 D1 7D 12 +@00001000 21 01 00 CD EA 22 21 80 00 D1 CD FF 20 7C B5 28 +@00001010 03 C3 D5 0F 21 01 00 39 CD 7E 21 26 00 C1 C9 C5 +@00001020 21 00 00 39 E5 21 0A 00 7D CD C8 22 D1 7D 12 C3 +@00001030 3E 10 21 00 00 39 E5 CD 7E 21 2B D1 7D 12 21 00 +@00001040 00 39 CD 7E 21 AF B4 FA A2 10 B5 CA A2 10 21 01 +@00001050 00 39 E5 CD B9 0F 7D CD C8 22 D1 7D 12 21 01 00 +@00001060 CD EA 22 21 01 00 D1 CD 77 21 DA 9C 10 21 01 00 +@00001070 CD EA 22 21 C0 00 D1 CD 77 21 DA 9C 10 21 01 00 +@00001080 CD EA 22 21 80 00 D1 CD 77 21 DA 9C 10 21 01 00 +@00001090 CD EA 22 21 20 00 D1 CD 77 21 30 03 C3 A2 10 C3 +@000010A0 32 10 21 01 00 39 CD 7E 21 26 00 C1 C9 C5 CD B9 +@000010B0 0F 21 01 00 39 E5 21 0A 00 7D CD C8 22 D1 7D 12 +@000010C0 C3 CF 10 21 01 00 39 E5 CD 7E 21 2B D1 7D 12 21 +@000010D0 01 00 39 CD 7E 21 AF B4 FA 00 11 B5 CA 00 11 21 +@000010E0 00 00 39 E5 CD B9 0F 7D CD C8 22 D1 7D 12 21 00 +@000010F0 00 CD EA 22 21 FF 00 D1 CD 77 21 38 03 C3 C3 10 +@00001100 21 00 00 39 CD 7E 21 26 00 C1 C9 3B CD AD 10 21 +@00001110 40 00 7D CD C8 22 E5 CD A1 0F C1 21 00 00 7D CD +@00001120 C8 22 E5 CD A1 0F C1 21 00 00 7D CD C8 22 E5 CD +@00001130 A1 0F C1 21 00 00 7D CD C8 22 E5 CD A1 0F C1 21 +@00001140 00 00 7D CD C8 22 E5 CD A1 0F C1 21 95 00 7D CD +@00001150 C8 22 E5 CD A1 0F C1 21 00 00 39 E5 CD C2 0F 7D +@00001160 CD C8 22 D1 7D 12 21 00 00 39 CD 7E 21 26 00 33 +@00001170 C9 3B CD AC 0F CD AD 10 21 41 00 7D CD C8 22 E5 +@00001180 CD A1 0F C1 21 00 00 7D CD C8 22 E5 CD A1 0F C1 +@00001190 21 00 00 7D CD C8 22 E5 CD A1 0F C1 21 00 00 7D +@000011A0 CD C8 22 E5 CD A1 0F C1 21 00 00 7D CD C8 22 E5 +@000011B0 CD A1 0F C1 21 FF 00 7D CD C8 22 E5 CD A1 0F C1 +@000011C0 21 00 00 39 E5 CD C2 0F 7D CD C8 22 D1 7D 12 21 +@000011D0 00 00 39 CD 7E 21 26 00 33 C9 3B CD AD 10 21 48 +@000011E0 00 7D CD C8 22 E5 CD A1 0F C1 21 00 00 7D CD C8 +@000011F0 22 E5 CD A1 0F C1 21 00 00 7D CD C8 22 E5 CD A1 +@00001200 0F C1 21 01 00 7D CD C8 22 E5 CD A1 0F C1 21 AA +@00001210 00 7D CD C8 22 E5 CD A1 0F C1 21 87 00 7D CD C8 +@00001220 22 E5 CD A1 0F C1 21 00 00 39 E5 CD C2 0F 7D CD +@00001230 C8 22 D1 7D 12 CD AC 0F CD AC 0F CD AC 0F CD AC +@00001240 0F CD AC 0F 21 00 00 39 CD 7E 21 26 00 33 C9 3B +@00001250 21 77 00 7D CD C8 22 E5 CD A1 0F C1 21 00 00 7D +@00001260 CD C8 22 E5 CD A1 0F C1 21 00 00 7D CD C8 22 E5 +@00001270 CD A1 0F C1 21 00 00 7D CD C8 22 E5 CD A1 0F C1 +@00001280 21 00 00 7D CD C8 22 E5 CD A1 0F C1 21 FF 00 7D +@00001290 CD C8 22 E5 CD A1 0F C1 21 00 00 39 E5 CD C2 0F +@000012A0 7D CD C8 22 D1 7D 12 21 00 00 CD EA 22 21 01 00 +@000012B0 D1 CD 9C 21 D2 BC 12 21 FF 00 33 C9 CD AD 10 21 +@000012C0 69 00 7D CD C8 22 E5 CD A1 0F C1 21 03 00 39 6E +@000012D0 26 00 E5 CD A1 0F C1 21 00 00 7D CD C8 22 E5 CD +@000012E0 A1 0F C1 21 00 00 7D CD C8 22 E5 CD A1 0F C1 21 +@000012F0 00 00 7D CD C8 22 E5 CD A1 0F C1 21 FF 00 7D CD +@00001300 C8 22 E5 CD A1 0F C1 21 00 00 39 E5 CD C2 0F 7D +@00001310 CD C8 22 D1 7D 12 CD AC 0F CD AC 0F 21 00 00 39 +@00001320 CD 7E 21 26 00 33 C9 C5 CD AD 10 21 7A 00 7D CD +@00001330 C8 22 E5 CD A1 0F C1 21 00 00 7D CD C8 22 E5 CD +@00001340 A1 0F C1 21 00 00 7D CD C8 22 E5 CD A1 0F C1 21 +@00001350 00 00 7D CD C8 22 E5 CD A1 0F C1 21 00 00 7D CD +@00001360 C8 22 E5 CD A1 0F C1 21 FF 00 7D CD C8 22 E5 CD +@00001370 A1 0F C1 21 01 00 39 E5 CD C2 0F 7D CD C8 22 D1 +@00001380 7D 12 21 00 00 39 E5 CD B9 0F D1 7D 12 21 00 00 +@00001390 39 7E FE C0 C2 A6 13 21 01 00 7D CD C8 22 E5 CD +@000013A0 71 0F C1 C3 B2 13 21 00 00 7D CD C8 22 E5 CD 71 +@000013B0 0F C1 CD AC 0F CD AC 0F CD AC 0F CD AC 0F CD AC +@000013C0 0F 21 01 00 39 CD 7E 21 26 00 C1 C9 3B 21 7F 00 +@000013D0 7D CD C8 22 E5 CD 80 0F C1 CD 8C 0F 21 00 00 39 +@000013E0 36 10 6E 26 00 C3 ED 13 21 00 00 39 35 21 00 00 +@000013F0 39 7E A7 CA 05 14 21 FF 00 7D CD C8 22 E5 CD A1 +@00001400 0F C1 C3 E8 13 CD 93 0F 21 FF 00 7D CD C8 22 E5 +@00001410 CD A1 0F C1 21 FF 00 7D CD C8 22 E5 CD A1 0F C1 +@00001420 CD 8C 0F 21 00 00 39 36 FF 6E 26 00 CD 0B 11 11 +@00001430 01 00 EB CD AF 22 D2 60 14 21 00 00 39 6E 26 00 +@00001440 7C B5 C2 4D 14 CD 93 0F 21 00 00 33 C9 21 00 00 +@00001450 39 E5 21 02 00 39 6E 26 00 2B D1 7D 12 C3 2C 14 +@00001460 CD DA 11 2B 7C B5 C2 C0 14 CD AC 0F 21 00 00 39 +@00001470 36 FF 21 40 00 E5 CD 4F 12 C1 11 01 00 EB CD FF +@00001480 20 7C B5 CA AD 14 21 00 00 39 6E 26 00 7C B5 C2 +@00001490 9A 14 CD 93 0F 21 00 00 33 C9 21 00 00 39 E5 21 +@000014A0 02 00 39 6E 26 00 2B D1 7D 12 C3 72 14 CD 27 13 +@000014B0 7C B5 CA BD 14 CD 93 0F 21 00 00 33 C9 C3 3E 15 +@000014C0 21 00 00 E5 CD 4F 12 C1 11 01 00 EB CD F4 22 D2 +@000014D0 16 15 21 00 00 39 36 FF 21 00 00 E5 CD 4F 12 C1 +@000014E0 11 01 00 EB CD FF 20 7C B5 CA 13 15 21 00 00 39 +@000014F0 6E 26 00 7C B5 C2 00 15 CD 93 0F 21 00 00 33 C9 +@00001500 21 00 00 39 E5 21 02 00 39 6E 26 00 2B D1 7D 12 +@00001510 C3 D8 14 C3 3E 15 21 00 00 39 36 FF 6E 26 00 CD +@00001520 71 11 7C B5 CA 3E 15 21 00 00 39 6E 26 00 7C B5 +@00001530 C2 3B 15 CD 93 0F 21 00 00 33 C9 C3 1F 15 CD 93 +@00001540 0F 21 00 00 7D CD C8 22 E5 CD 80 0F C1 21 01 00 +@00001550 33 C9 21 02 00 39 5E 23 56 EB 01 02 00 3E FF D3 +@00001560 C1 DB 00 E6 80 28 FA DB 01 77 23 10 F0 0D 20 ED +@00001570 C9 C5 C5 C5 21 02 00 39 E5 21 0A 00 39 CD 92 21 +@00001580 3E 09 CD 02 23 C1 CD BC 22 2A 6F 0F 7E FE 01 C2 +@00001590 A2 15 21 02 00 39 E5 21 0A 00 39 CD 92 21 C1 CD +@000015A0 BC 22 CD AD 10 CD 8C 0F 21 51 00 7D CD C8 22 E5 +@000015B0 CD A1 0F C1 21 02 00 39 CD 92 21 0E 18 CD D2 21 +@000015C0 D5 E5 21 FF 00 11 00 00 CD C0 21 7D CD C8 22 E5 +@000015D0 CD A1 0F C1 21 02 00 39 CD 92 21 0E 10 CD D2 21 +@000015E0 D5 E5 21 FF 00 11 00 00 CD C0 21 7D CD C8 22 E5 +@000015F0 CD A1 0F C1 21 02 00 39 CD 92 21 0E 08 CD D2 21 +@00001600 D5 E5 21 FF 00 11 00 00 CD C0 21 7D CD C8 22 E5 +@00001610 CD A1 0F C1 21 02 00 39 CD 92 21 D5 E5 21 FF 00 +@00001620 11 00 00 CD C0 21 7D CD C8 22 E5 CD A1 0F C1 21 +@00001630 FF 00 7D CD C8 22 E5 CD A1 0F C1 21 01 00 39 E5 +@00001640 CD C2 0F 7D CD C8 22 D1 7D 12 21 01 00 CD EA 22 +@00001650 21 80 00 D1 CD FF 20 7C B5 CA 66 16 CD 93 0F 21 +@00001660 00 00 C1 C1 C1 C9 21 00 00 39 E5 21 FF 00 7D CD +@00001670 C8 22 D1 7D 12 CD B9 0F 11 FE 00 EB CD AF 22 D2 +@00001680 AF 16 21 00 00 39 CD 7E 21 7C B5 C2 98 16 CD 93 +@00001690 0F 21 00 00 C1 C1 C1 C9 21 00 00 39 E5 21 02 00 +@000016A0 39 CD 7E 21 2B 7D CD C8 22 D1 7D 12 C3 75 16 21 +@000016B0 0C 00 39 CD 84 21 E5 CD 52 15 C1 CD AC 0F CD AC +@000016C0 0F CD AC 0F CD 93 0F 21 01 00 C1 C1 C1 C9 10 C0 +@000016D0 00 C1 00 C3 00 C5 C1 E1 E5 C5 6E 26 00 E5 21 04 +@000016E0 00 39 CD 84 21 23 5E 16 00 2E 08 CD 0E 23 D1 CD +@000016F0 83 23 E5 21 04 00 39 CD 84 21 23 23 5E 16 00 2E +@00001700 10 CD 0E 23 D1 CD 83 23 E5 21 04 00 39 CD 84 21 +@00001710 23 23 23 5E 16 00 2E 18 CD 0E 23 D1 CD 83 23 CD +@00001720 A8 21 C9 C1 E1 E5 C5 6E 26 00 E5 21 04 00 39 CD +@00001730 84 21 23 5E 16 00 2E 08 CD 0E 23 D1 CD 83 23 C9 +@00001740 C5 C5 21 00 00 39 E5 21 00 00 CD A8 21 C1 CD BC +@00001750 22 2A D2 16 E5 21 02 00 39 CD 92 21 D5 E5 CD 71 +@00001760 15 C1 C1 C1 CD B0 21 D2 78 17 21 5A 20 E5 CD 46 +@00001770 0D C1 21 00 00 C1 C1 C9 2A D2 16 01 FE 01 09 7E +@00001780 FE 55 C2 92 17 2A D2 16 01 FF 01 09 7E FE AA CA +@00001790 A0 17 21 6D 20 E5 CD 46 0D C1 21 00 00 C1 C1 C9 +@000017A0 2A D2 16 01 C2 01 09 6E 26 00 7D FE 06 CA C2 17 +@000017B0 FE 04 CA C2 17 FE 0B CA D3 17 FE 0C CA D3 17 C3 +@000017C0 E4 17 2A CE 16 E5 21 00 00 7D CD C8 22 D1 7D 12 +@000017D0 C3 F2 17 2A CE 16 E5 21 01 00 7D CD C8 22 D1 7D +@000017E0 12 C3 F2 17 21 78 20 E5 CD 46 0D C1 21 00 00 C1 +@000017F0 C1 C9 21 00 00 39 E5 2A D2 16 01 C6 01 09 E5 CD +@00001800 D6 16 C1 C1 CD BC 22 2A D2 16 E5 21 02 00 39 CD +@00001810 92 21 D5 E5 CD 71 15 C1 C1 C1 CD B0 21 D2 2E 18 +@00001820 21 A0 20 E5 CD 46 0D C1 21 00 00 C1 C1 C9 2A D2 +@00001830 16 01 FE 01 09 7E FE 55 C2 48 18 2A D2 16 01 FF +@00001840 01 09 7E FE AA CA 56 18 21 C1 20 E5 CD 46 0D C1 +@00001850 21 00 00 C1 C1 C9 2A D2 16 01 0B 00 09 7E FE 00 +@00001860 C2 70 18 2A D2 16 01 0C 00 09 7E FE 02 CA 9C 18 +@00001870 21 D4 20 E5 CD 46 0D C1 2A D2 16 01 0B 00 09 6E +@00001880 26 00 E5 CD 09 0E C1 2A D2 16 01 0C 00 09 6E 26 +@00001890 00 E5 CD 09 0E C1 21 00 00 C1 C1 C9 2A CE 16 23 +@000018A0 E5 2A D2 16 01 0D 00 09 6E 26 00 7D CD C8 22 D1 +@000018B0 7D 12 2A CE 16 23 23 E5 21 02 00 39 CD 92 21 D5 +@000018C0 E5 2A D2 16 01 0E 00 09 E5 CD 23 17 C1 CD A8 21 +@000018D0 CD B5 21 C1 CD BC 22 2A CE 16 CD 7E 21 7C B5 CA +@000018E0 E8 18 CD F1 18 C3 EB 18 CD 77 19 21 01 00 C1 C1 +@000018F0 C9 C5 C5 3B 21 04 00 39 E5 2A D2 16 01 10 00 09 +@00001900 7E D1 12 21 00 00 39 E5 2A D2 16 01 24 00 09 E5 +@00001910 CD D6 16 C1 C1 CD BC 22 2A CE 16 01 06 00 09 E5 +@00001920 2A CE 16 23 23 CD 92 21 C1 CD BC 22 C3 34 19 21 +@00001930 04 00 39 35 21 04 00 39 7E A7 CA 5B 19 2A CE 16 +@00001940 01 06 00 09 E5 CD 92 21 D5 E5 21 06 00 39 CD 92 +@00001950 21 CD B5 21 C1 CD BC 22 C3 2F 19 2A CE 16 01 12 +@00001960 00 09 E5 2A D2 16 01 2C 00 09 E5 CD D6 16 C1 C1 +@00001970 CD BC 22 33 C1 C1 C9 C5 3B 21 02 00 39 E5 2A D2 +@00001980 16 01 10 00 09 7E D1 12 2A D2 16 01 16 00 09 E5 +@00001990 CD 23 17 C1 C1 E5 2A CE 16 01 12 00 09 E5 2A CE +@000019A0 16 23 23 CD 92 21 C1 CD BC 22 C3 B2 19 21 02 00 +@000019B0 39 35 21 02 00 39 7E A7 CA DC 19 2A CE 16 01 12 +@000019C0 00 09 E5 CD 92 21 D5 E5 21 06 00 39 CD 84 21 CD +@000019D0 A8 21 CD B5 21 C1 CD BC 22 C3 AD 19 2A CE 16 01 +@000019E0 16 00 09 E5 2A D2 16 01 11 00 09 E5 CD 23 17 C1 +@000019F0 EB 2E 04 CD E0 22 D1 CD B6 22 2A CE 16 01 06 00 +@00001A00 09 E5 2A CE 16 01 12 00 09 CD 92 21 D5 E5 2A CE +@00001A10 16 01 16 00 09 CD 84 21 CD A8 21 CD B5 21 C1 CD +@00001A20 BC 22 33 C1 C9 21 02 00 39 E5 CD 92 21 D5 E5 2A +@00001A30 CE 16 23 23 CD 92 21 CD B5 21 C1 CD BC 22 2A CE +@00001A40 16 01 0E 00 09 CD 92 21 D5 E5 21 06 00 39 CD 92 +@00001A50 21 CD 21 23 D2 5B 1A 21 01 00 C9 2A D0 16 E5 21 +@00001A60 04 00 39 CD 92 21 D5 E5 CD 71 15 C1 C1 C1 7C B5 +@00001A70 CA 8A 1A 2A CE 16 01 0E 00 09 E5 21 04 00 39 CD +@00001A80 92 21 C1 CD BC 22 21 01 00 C9 21 00 00 C9 21 06 +@00001A90 00 CD 89 21 21 04 00 39 CD 92 21 D5 E5 CD 71 15 +@00001AA0 C1 C1 C1 C9 2A CE 16 01 06 00 09 CD 92 21 D5 E5 +@00001AB0 21 06 00 39 CD 92 21 D5 E5 21 FE FF 11 FF FF CD +@00001AC0 B5 21 D5 E5 2A CE 16 23 CD 7E 21 CD A8 21 CD 40 +@00001AD0 23 CD B5 21 C9 C5 C5 21 00 00 39 E5 2A CE 16 CD +@00001AE0 7E 21 7C B5 CA F6 1A 21 08 00 39 CD 92 21 0E 07 +@00001AF0 CD D2 21 C3 02 1B 21 08 00 39 CD 92 21 0E 08 CD +@00001B00 D2 21 C1 CD BC 22 21 00 00 39 CD 92 21 D5 E5 CD +@00001B10 25 1A C1 C1 CD B0 21 D2 23 1B 21 00 00 CD A8 21 +@00001B20 C1 C1 C9 2A CE 16 CD 7E 21 7C B5 CA 53 1B 2A D0 +@00001B30 16 E5 21 08 00 39 CD 92 21 D5 E5 21 7F 00 11 00 +@00001B40 00 CD C0 21 3E 02 CD 02 23 D1 19 E5 CD D6 16 C1 +@00001B50 C1 C1 C9 2A D0 16 E5 21 08 00 39 CD 92 21 D5 E5 +@00001B60 21 FF 00 11 00 00 CD C0 21 3E 01 CD 02 23 D1 19 +@00001B70 E5 CD 23 17 C1 CD A8 21 C1 C1 C9 C1 C1 C9 2A CE +@00001B80 16 CD 7E 21 7C B5 CA AC 1B 21 02 00 39 CD 92 21 +@00001B90 D5 E5 21 F8 FF 11 FF FF CD C0 21 D5 E5 21 F8 FF +@00001BA0 11 FF FF CD 21 23 21 00 00 CB 15 C9 21 02 00 39 +@00001BB0 CD 92 21 D5 E5 21 F8 FF 11 00 00 CD C0 21 D5 E5 +@00001BC0 21 F8 FF 11 00 00 CD 21 23 21 00 00 CB 15 C9 C9 +@00001BD0 21 06 00 CD 89 21 21 04 00 39 CD 92 21 C1 CD BC +@00001BE0 22 21 06 00 39 CD 84 21 01 04 00 09 E5 21 04 00 +@00001BF0 39 CD 92 21 D5 E5 CD A4 1A C1 C1 C1 CD BC 22 21 +@00001C00 01 00 C9 C5 21 06 00 39 CD 84 21 01 04 00 09 CD +@00001C10 92 21 D5 E5 21 0A 00 39 CD 84 21 CD 92 21 CD 1B +@00001C20 23 D5 E5 CD A4 1A C1 C1 CD 21 23 D2 85 1C 21 06 +@00001C30 00 CD 89 21 21 08 00 39 CD 84 21 CD 92 21 D5 E5 +@00001C40 CD D5 1A C1 C1 C1 CD BC 22 21 06 00 39 CD 84 21 +@00001C50 CD 92 21 D5 E5 CD 7E 1B C1 C1 7C B5 CA 64 1C 21 +@00001C60 FF FF C1 C9 21 06 00 39 CD 84 21 01 04 00 09 E5 +@00001C70 21 08 00 39 CD 84 21 CD 92 21 D5 E5 CD A4 1A C1 +@00001C80 C1 C1 CD BC 22 21 04 00 CD 89 21 21 08 00 39 CD +@00001C90 84 21 01 04 00 09 E5 CD 92 21 CD 1B 23 C1 CD BC +@00001CA0 22 CD 15 23 D5 E5 CD 71 15 C1 C1 C1 C1 C9 21 00 +@00001CB0 00 E5 C3 B9 1C E1 23 E5 2B 21 00 00 CD 89 21 21 +@00001CC0 00 01 D1 CD A3 22 D2 E5 1C 2A D4 16 E5 C1 E1 E5 +@00001CD0 C5 29 29 29 29 D1 19 E5 21 00 00 7D CD C8 22 D1 +@00001CE0 7D 12 C3 B5 1C C1 C9 3B 21 03 00 39 CD 84 21 7E +@00001CF0 FE E5 C2 FA 1C 21 00 00 33 C9 21 03 00 39 CD 84 +@00001D00 21 01 0B 00 09 7E E6 0D CA 10 1D 21 00 00 33 C9 +@00001D10 21 05 00 CD 89 21 21 05 00 39 CD 84 21 01 0B 00 +@00001D20 09 7E E6 10 5F 16 00 21 10 00 CD 8A 23 11 01 00 +@00001D30 EB CD 83 23 7D CD C8 22 D1 7D 12 21 00 00 39 36 +@00001D40 00 6E 26 00 C3 50 1D 21 00 00 39 34 6E 26 00 2D +@00001D50 21 00 00 39 7E FE 0B CA 89 1D D2 89 1D 21 05 00 +@00001D60 39 CD 84 21 23 EB 21 00 00 39 6E 26 00 19 E5 21 +@00001D70 05 00 39 34 7E 23 20 01 34 66 6F 2B 6E 26 00 7D +@00001D80 CD C8 22 D1 7D 12 C3 47 1D 21 05 00 39 CD 84 21 +@00001D90 01 0C 00 09 E5 21 05 00 39 CD 84 21 01 0F 00 09 +@00001DA0 E5 CD 23 17 C1 CD A8 21 C1 CD BC 22 2A CE 16 CD +@00001DB0 7E 21 7C B5 CA E6 1D 21 05 00 39 CD 84 21 01 0C +@00001DC0 00 09 E5 CD 92 21 D5 E5 21 09 00 39 CD 84 21 01 +@00001DD0 09 00 09 E5 CD 23 17 C1 CD A8 21 EB 21 00 00 CD +@00001DE0 71 23 C1 CD BC 22 21 01 00 33 C9 3B 21 00 00 39 +@00001DF0 36 10 6E 26 00 C3 FD 1D 21 00 00 39 35 21 00 00 +@00001E00 39 7E A7 CA 6C 1E 21 03 00 39 CD 84 21 7E A7 C2 +@00001E10 25 1E 21 05 00 39 CD 84 21 CD 84 21 E5 D1 AF 12 +@00001E20 21 01 00 33 C9 21 05 00 39 CD 84 21 5E 23 56 D5 +@00001E30 21 05 00 39 CD 84 21 E5 CD E7 1C C1 C1 7C B5 CA +@00001E40 59 1E 21 05 00 39 CD 84 21 E5 CD 84 21 01 10 00 +@00001E50 09 D1 CD B6 22 01 F0 FF 09 21 03 00 39 E5 CD 84 +@00001E60 21 01 20 00 09 D1 CD B6 22 C3 F8 1D 21 00 00 33 +@00001E70 C9 C5 C5 C5 C5 3B CD AE 1C 21 05 00 39 E5 2A CE +@00001E80 16 01 12 00 09 CD 92 21 C1 CD BC 22 21 03 00 39 +@00001E90 EB 2A D4 16 CD B6 22 21 00 00 39 E5 2A CE 16 01 +@00001EA0 16 00 09 CD 84 21 D1 7D 12 C3 B1 1E 21 00 00 39 +@00001EB0 35 21 00 00 39 7E A7 CA 0C 1F 2A D2 16 E5 21 07 +@00001EC0 00 39 CD 92 21 D5 E5 CD 71 15 C1 C1 C1 CD B0 21 +@00001ED0 D2 E4 1E 21 EA 20 E5 CD 46 0D C1 21 00 00 33 C1 +@00001EE0 C1 C1 C1 C9 21 03 00 39 E5 2A D2 16 E5 CD EB 1D +@00001EF0 C1 C1 7C B5 C2 0C 1F 21 05 00 39 E5 CD 92 21 CD +@00001F00 1B 23 C1 CD BC 22 CD 15 23 C3 AC 1E 21 03 00 39 +@00001F10 CD 84 21 CD 84 21 E5 D1 AF 12 2A D4 16 33 C1 C1 +@00001F20 C1 C1 C9 C5 C5 C5 C5 C5 2A CE 16 CD 7E 21 CD B0 +@00001F30 21 D2 4B 1F 21 0C 00 39 CD 92 21 7C B5 B2 B3 C2 +@00001F40 4B 1F CD 71 1E C1 C1 C1 C1 C1 C9 CD AE 1C 21 06 +@00001F50 00 39 E5 21 0E 00 39 CD 92 21 C1 CD BC 22 2A D4 +@00001F60 16 C1 E5 3B 21 03 00 39 E5 21 09 00 39 CD 92 21 +@00001F70 D5 E5 CD A4 1A C1 C1 C1 CD BC 22 21 00 00 39 36 +@00001F80 08 6E 26 00 C3 8C 1F 21 00 00 39 35 21 00 00 39 +@00001F90 7E A7 CA DF 1F 2A D2 16 E5 21 05 00 39 CD 92 21 +@00001FA0 D5 E5 CD 71 15 C1 C1 C1 CD B0 21 D2 B7 1F 21 0B +@00001FB0 00 39 F9 21 00 00 C9 21 01 00 39 E5 2A D2 16 E5 +@00001FC0 CD EB 1D C1 C1 7C B5 C2 34 20 21 03 00 39 E5 CD +@00001FD0 92 21 CD 1B 23 C1 CD BC 22 CD 15 23 C3 87 1F 21 +@00001FE0 07 00 39 E5 21 09 00 39 CD 92 21 D5 E5 CD D5 1A +@00001FF0 C1 C1 C1 CD BC 22 21 07 00 39 CD 92 21 7C B5 B2 +@00002000 B3 C2 0D 20 21 0B 00 39 F9 21 00 00 C9 33 21 06 +@00002010 00 39 CD 92 21 D5 E5 CD 7E 1B C1 C1 CD B0 21 DA +@00002020 63 1F E1 E5 CD 84 21 E5 D1 AF 12 2A D4 16 C1 C1 +@00002030 C1 C1 C1 C9 33 C3 22 20 2A CE 16 CD 7E 21 7C B5 +@00002040 CA 55 20 2A CE 16 01 12 00 09 CD 92 21 D5 E5 CD +@00002050 23 1F C1 C1 C9 CD 71 1E C9 C9 45 72 72 6F 72 20 +@00002060 6C 6F 61 64 69 6E 67 20 4D 42 52 0D 00 57 72 6F +@00002070 6E 67 20 4D 42 52 0D 00 55 6E 73 75 70 70 6F 72 +@00002080 74 65 64 20 46 69 6C 65 53 79 73 74 65 6D 20 28 +@00002090 46 41 54 31 36 2F 33 32 20 6F 6E 6C 79 29 0D 00 +@000020A0 45 72 72 6F 72 20 77 68 69 6C 65 20 6C 6F 61 64 +@000020B0 69 6E 67 20 62 6F 6F 74 20 73 65 63 74 6F 72 0D +@000020C0 00 57 72 6F 6E 67 20 62 6F 6F 74 20 72 65 63 6F +@000020D0 72 64 0D 00 73 65 63 74 6F 72 20 73 69 7A 65 20 +@000020E0 21 3D 20 30 78 32 30 30 0D 00 65 72 72 6F 72 20 +@000020F0 77 68 69 6C 65 20 72 65 61 64 69 6E 67 0D 00 7D +@00002100 A3 6F 7C A2 67 C9 EB E1 4E 23 46 23 78 B1 28 0C +@00002110 7E 23 BB 7E 23 20 F1 BA 20 EE 60 69 E9 7C 2F 67 +@00002120 7D 2F 6F C9 7C B5 C8 EB 7C E6 80 47 28 06 97 95 +@00002130 6F 9F 94 67 7A E6 80 4F 28 06 97 93 5F 9F 92 57 +@00002140 B7 C5 CD 5C 21 C1 EB 79 A8 FC 51 21 78 EB E6 80 +@00002150 C8 97 93 5F 9F 92 57 C9 7C B5 C8 EB 06 10 7C 4D +@00002160 21 00 00 CB 11 17 ED 6A ED 52 30 01 19 3F 10 F3 +@00002170 CB 11 17 57 59 EB C9 A7 ED 52 3F C8 A7 C9 7E 6F +@00002180 07 9F 67 C9 7E 23 66 6F C9 39 23 23 7E 23 66 6F +@00002190 E3 E9 5E 23 56 23 7E 23 66 6F EB C9 7A C6 80 47 +@000021A0 7C C6 80 B8 C0 7D BB C9 11 00 00 CB 7C C8 1B C9 +@000021B0 7C B5 C0 37 C9 DD E1 C1 09 EB C1 ED 4A EB DD E9 +@000021C0 DD E1 C1 79 A5 6F 78 A4 67 C1 79 A3 5F 78 A2 57 +@000021D0 DD E9 79 B7 C8 47 7B CB 2A 1F CB 1C CB 1D 10 F7 +@000021E0 5F C9 7A B3 B4 B5 CA 8A 22 F1 E5 D9 D1 C1 21 00 +@000021F0 00 D9 C1 21 00 00 F5 79 4A C5 4F CB 78 28 14 D9 +@00002200 79 2F 4F 78 2F 47 78 B1 03 D9 79 2F 4F 78 2F 47 +@00002210 20 01 03 CB 7A 28 14 D9 7B 2F 5F 7A 2F 57 7A B3 +@00002220 13 D9 7B 2F 5F 7A 2F 57 20 01 13 CD 52 22 C1 78 +@00002230 A9 FC 91 22 78 E6 80 F0 D9 CD 91 22 D9 C9 7A B3 +@00002240 B4 B5 28 46 F1 E5 D9 D1 C1 21 00 00 D9 C1 21 00 +@00002250 00 F5 3E 20 B7 D9 CB 11 CB 10 D9 CB 11 CB 10 D9 +@00002260 ED 6A D9 ED 6A D9 ED 52 D9 ED 52 30 05 D9 19 D9 +@00002270 ED 5A 3F 3D C2 55 22 D9 CB 11 CB 10 D9 CB 11 CB +@00002280 10 E5 D9 D1 C5 D9 E1 59 50 C9 D9 C1 E1 D1 C5 D9 +@00002290 C9 7D 2F 6F 7C 2F 67 7B 2F 5F 7A 2F 57 2C C0 24 +@000022A0 C0 13 C9 7C C6 80 47 7A C6 80 B8 C0 7B BD C9 B7 +@000022B0 ED 52 37 C0 3F C9 7D 12 13 7C 12 C9 7D 02 03 7C +@000022C0 02 03 7B 02 03 7A 02 C9 6F 07 9F 67 C9 7C BA C0 +@000022D0 7D BB C9 7A BC C0 7B BD C9 DB DC 2F 26 00 6F C9 +@000022E0 EB 1D F8 CB 2C CB 1D C3 E1 22 39 23 23 7E 6F 07 +@000022F0 9F 67 E3 E9 7A C6 80 47 7C C6 80 B8 3F C0 7D BB +@00002300 3F C9 B7 C8 47 7B 29 17 CB 12 10 FA 5F C9 EB 1D +@00002310 F8 29 C3 0F 23 7C B5 2B C0 1B C9 2C C0 24 C0 13 +@00002320 C9 DD E1 C1 79 BD C2 3C 23 78 BC C2 3C 23 C1 79 +@00002330 BB C2 3D 23 78 BA C2 3D 23 37 DD E9 C1 B7 DD E9 +@00002340 F1 E5 D9 D1 C1 21 00 00 D9 C1 21 00 00 F5 3E 20 +@00002350 CB 38 CB 19 D9 CB 18 CB 19 30 05 19 D9 ED 5A D9 +@00002360 CB 23 CB 12 D9 CB 13 CB 12 3D C2 50 23 E5 D9 D1 +@00002370 C9 DD E1 C1 79 B5 6F 78 B4 67 C1 79 B3 5F 78 B2 +@00002380 57 DD E9 7D B3 6F 7C B2 67 C9 7D AB 6F 7C AA 67 +@00002390 C9 /* http://srecord.sourceforge.net/ */ @00008400 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 @00008410 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 diff --git a/cores/MasterSystem/bootloader/fat.c b/cores/MasterSystem/bootloader/fat.c index 1754cb0..2350405 100644 --- a/cores/MasterSystem/bootloader/fat.c +++ b/cores/MasterSystem/bootloader/fat.c @@ -17,7 +17,6 @@ const fat_t *fat = 0xc010; const UBYTE *fat_buffer = 0xc100; const UBYTE *data_buffer = 0xc300; const file_descr_t *directory_buffer= 0xc500; -//const BYTE *card_type = 0xc700; //q void fat_init32(); void fat_init16(); @@ -50,16 +49,17 @@ int fat_init() console_puts("Wrong MBR\n"); return FALSE; } - switch (data_buffer[0x1c2]) { case 0x06: + case 0x04: fat->fat32 = FALSE; break; case 0x0b: + case 0x0c: fat->fat32 = TRUE; break; default: - console_puts("Unknown filesystem type (FAT16/32 only)\n"); + console_puts("Unsupported FileSystem (FAT16/32 only)\n"); return FALSE; } @@ -70,6 +70,7 @@ int fat_init() debug_puts("\n"); #endif + if (!sd_load_sector(data_buffer, sector)) { console_puts("Error while loading boot sector\n"); return FALSE; diff --git a/cores/MasterSystem/bootloader/main.c b/cores/MasterSystem/bootloader/main.c index 100dbe2..3fa56b9 100644 --- a/cores/MasterSystem/bootloader/main.c +++ b/cores/MasterSystem/bootloader/main.c @@ -59,16 +59,15 @@ void main() i = 0; if (!sd_init()) { - console_puts("Could not initialize SD card\n"); + console_puts("Error initializing SD/MMC card\n"); } else { - #ifdef DEBUG + #ifdef DEBUG2 console_puts("SD card initialized\n"); #endif if (!fat_init()) { - console_puts("could not initialize FAT system\n"); - + //console_puts("could not initialize FAT system\n"); //qq } else { - #ifdef DEBUG + #ifdef DEBUG2 console_puts("FAT system initialized\n"); #endif i = 1; @@ -86,8 +85,8 @@ void choose_mode(int sd_ok) console_gotoxy(9,10); if (sd_ok) { pick_and_load_rom(); - } else { - console_puts("retry SD card"); + } else { + console_puts("retry SD/MMC card"); } for (;;) { @@ -98,6 +97,9 @@ void choose_mode(int sd_ok) if (i==1) { console_puts(">"); } else { console_puts(" "); } key = wait_key(); switch (key) { + case JOY_UP: + i = 0; + break; case JOY_FIREA: case JOY_FIREB: if (i==0) { @@ -142,7 +144,7 @@ void pick_and_load_rom() } } cont = 0; - } + } if ((key & JOY_DOWN) && (cont%cdiv==0)) { if (current[1].type!=0) { current++; @@ -247,6 +249,9 @@ void load_rom(file_descr_t *entry) size += 0x200; if ((size)%16384 == 0) console_puts("."); + //console_gotoxy(0,3); + //console_print_dword(size); + //console_puts(" bytes loaded"); } } } @@ -256,6 +261,7 @@ void start_rom() *((UBYTE*)0xfffd) = 0; *((UBYTE*)0xfffe) = 1; *((UBYTE*)0xffff) = 2; + //console_puts("booting rom...\n"); // any write to $00 when in bootloader mode sets normal mode and reboots the CPU #asm out ($00),a diff --git a/cores/MasterSystem/bootloader/sd.c b/cores/MasterSystem/bootloader/sd.c index b40d400..a26f912 100644 --- a/cores/MasterSystem/bootloader/sd.c +++ b/cores/MasterSystem/bootloader/sd.c @@ -79,7 +79,6 @@ UBYTE spi_receive_byte() } - UBYTE sd_wait_r1() { BYTE r,timeout; @@ -139,6 +138,24 @@ UBYTE sd_cmd0() return r; } +UBYTE sd_cmd1() //MMC +{ + BYTE r; + spi_delay(); + sd_wait_ready(); + + spi_send_byte(0x41); + spi_send_byte(0x00); + spi_send_byte(0x00); + spi_send_byte(0x00); + spi_send_byte(0x00); + spi_send_byte(0xFF); + + r = sd_wait_r1(); + + return r; +} + UBYTE sd_cmd8() { BYTE r; @@ -178,6 +195,13 @@ UBYTE sd_acmd41(UBYTE byte0) spi_send_byte(0xff); r = sd_wait_r1(); + +#ifdef DEBUG_CMD + console_gotoxy(0,2); + console_puts("A41R1 = "); //q debug + console_print_byte(r); //q debug +#endif + if (r>1) { #ifdef DEBUG_SD debug_puts("cmd55 failed:"); @@ -198,6 +222,12 @@ UBYTE sd_acmd41(UBYTE byte0) r = sd_wait_r1(); +#ifdef DEBUG_CMD +console_gotoxy(12,2); +console_puts("A41R2 = "); //q debug +console_print_byte(r); //q debug +#endif + spi_delay(); spi_delay(); @@ -224,21 +254,26 @@ UBYTE sd_cmd58() r = sd_wait_r1(); +#ifdef DEBUG_CMD + console_gotoxy(0,3); + console_puts("58R1 = "); //q debug + console_print_byte(r); //q debug +#endif + r58 = spi_receive_byte(); - if (r58==0xc0) // Distingue entre SDHC y SD +#ifdef DEBUG_CMD + console_puts(" - 58R2 = "); //q debug + console_print_byte(r58); //q debug + console_puts("\n"); +#endif + + if (r58==0xc0) //Q card_SDHC(1); else card_SDHC(0); -#ifdef DEBUG_SD - console_print_byte(r58); //q debug - console_puts(" - card_type = ") - console_print_byte(card_type[0]); //q debug - console_puts("\n") -#endif - - spi_delay(); + spi_delay(); // if &0xc0==0xc0 => SDHC spi_delay(); spi_delay(); spi_delay(); @@ -295,6 +330,7 @@ int sd_init() timeout = timeout-1; } + // read OCR //Q MOD for SDHC if (sd_cmd58()!=0) { spi_deassert_cs(); return FALSE; @@ -316,12 +352,15 @@ int sd_init() timeout = timeout-1; } } else { - // MM Card : fail -#ifdef DEBUG_SD - debug_puts("MMC\n"); -#endif - spi_deassert_cs(); - return FALSE; + // MMC Card : probamos inicializar con CMD1 + timeout = 0xff; + while (sd_cmd1()!=0) { + if (timeout==0) { + spi_deassert_cs(); + return FALSE; + } + } + } } @@ -333,6 +372,12 @@ int sd_init() /* loads $200 bytes from spi */ void load_data(UBYTE *target) { +/* + int i; + for (i=0; i<0x200; i++) { + *target++ = spi_receive_byte(); + } +*/ #asm ld hl, 2 add hl, sp @@ -363,7 +408,7 @@ int sd_load_sector(UBYTE* target, DWORD sector) BYTE r; BYTE timeout; - address = sector<<9; //Q SD no HC = byte address + address = sector<<9; //SD no HD = byte address if (card_type[0] == 1) address = sector; //Q SDHC = block addres diff --git a/cores/MasterSystem/inyectar_bootloader_rgb.bat b/cores/MasterSystem/inyectar_bootloader_rgb.bat new file mode 100644 index 0000000..bfd80de --- /dev/null +++ b/cores/MasterSystem/inyectar_bootloader_rgb.bat @@ -0,0 +1 @@ +data2mem -bm src\sms_bd.bmm -bt sms_rgb.bit -bd all.mem -o b sms_rgb_final.bit \ No newline at end of file diff --git a/cores/MasterSystem/inyectar_bootloader_vga.bat b/cores/MasterSystem/inyectar_bootloader_vga.bat new file mode 100644 index 0000000..bec5355 --- /dev/null +++ b/cores/MasterSystem/inyectar_bootloader_vga.bat @@ -0,0 +1 @@ +data2mem -bm src\sms_bd.bmm -bt sms_vga.bit -bd all.mem -o b sms_vga_final.bit \ No newline at end of file diff --git a/cores/MasterSystem/master_system.xise b/cores/MasterSystem/master_system.xise index 9ee62de..a92743f 100644 --- a/cores/MasterSystem/master_system.xise +++ b/cores/MasterSystem/master_system.xise @@ -9,137 +9,125 @@ - + - + - - - + + + - - - + + + - - - + + + - - - + + + - - - + + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - + + - - - - - - - - - - + + - - + + - - + + - - - - - - - - - - - - - - - - - - - + + + - - + + + + + + + + + + + + + + + + + + - + - - - + + - - - - - - + + + @@ -152,9 +140,10 @@ + - + @@ -176,7 +165,7 @@ - + @@ -193,6 +182,7 @@ + @@ -213,6 +203,7 @@ + @@ -235,7 +226,6 @@ - @@ -246,16 +236,16 @@ - + - - - + + + @@ -275,7 +265,9 @@ + + @@ -286,6 +278,7 @@ + @@ -323,7 +316,7 @@ - + @@ -339,17 +332,16 @@ - - - - + + + + - @@ -368,7 +360,7 @@ - + @@ -381,7 +373,6 @@ - @@ -421,7 +412,7 @@ - + @@ -429,6 +420,7 @@ + @@ -483,7 +475,10 @@ + + + diff --git a/cores/MasterSystem/src/clocks.vhd b/cores/MasterSystem/src/clocks.vhd new file mode 100644 index 0000000..e61b1ce --- /dev/null +++ b/cores/MasterSystem/src/clocks.vhd @@ -0,0 +1,189 @@ +-- file: clk_wiz_v1_8.vhd +-- +-- (c) Copyright 2008 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-- +------------------------------------------------------------------------------ +-- User entered comments +------------------------------------------------------------------------------ +-- None +-- +------------------------------------------------------------------------------ +-- Output Output Phase Duty Cycle Pk-to-Pk Phase +-- Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps) +------------------------------------------------------------------------------ +-- CLK_OUT1 32.024 0.000 50.0 271.037 265.971 +-- CLK_OUT2 16.012 0.000 50.0 322.832 265.971 +-- CLK_OUT3 8.006 0.000 50.0 365.304 265.971 +-- CLK_OUT4 64.048 0.000 50.0 224.987 265.971 +-- +------------------------------------------------------------------------------ +-- Input Clock Input Freq (MHz) Input Jitter (UI) +------------------------------------------------------------------------------ +-- primary 50.000 0.010 +-- Generador relojes PLL para el in de 50Mhz del ZX-UNO + +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_unsigned.all; +use ieee.std_logic_arith.all; +use ieee.numeric_std.all; + +library unisim; +use unisim.vcomponents.all; + +entity clock is +port + (-- Clock in ports + clk_in : in std_logic; + -- Clock out ports + clk32 : out std_logic; + clk16 : out std_logic; + clk_cpu : out std_logic; + clk64 : out std_logic + ); +end clock; + +architecture behavioral of clock is +-- attribute CORE_GENERATION_INFO : string; +-- attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_wiz_v1_8,clk_wiz_v1_8,{component_name=clk_wiz_v1_8,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=PLL_BASE,num_out_clk=4,clkin1_period=20.0,clkin2_period=20.0,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}"; + -- Input clock buffering / unused connectors + signal clkin1 : std_logic; + -- Output clock buffering / unused connectors + signal clkfbout : std_logic; + signal clkfbout_buf : std_logic; + signal clkout0 : std_logic; + signal clkout1 : std_logic; + signal clkout2 : std_logic; + signal clkout3 : std_logic; + signal clkout4_unused : std_logic; + signal clkout5_unused : std_logic; + -- Unused status signals + signal locked_unused : std_logic; + +begin + + + -- Input buffering + -------------------------------------- + clkin1_buf : IBUFG + port map + (O => clkin1, + I => clk_in); + + + -- Clocking primitive + -------------------------------------- + -- Instantiation of the PLL primitive + -- * Unused inputs are tied off + -- * Unused outputs are labeled unused + + pll_base_inst : PLL_BASE + generic map + (BANDWIDTH => "OPTIMIZED", + CLK_FEEDBACK => "CLKFBOUT", + COMPENSATION => "SYSTEM_SYNCHRONOUS", + DIVCLK_DIVIDE => 2, + CLKFBOUT_MULT => 41, + CLKFBOUT_PHASE => 0.000, + CLKOUT0_DIVIDE => 128, --32 = 32Mhz, --128 = 8Mhz + CLKOUT0_PHASE => 0.000, + CLKOUT0_DUTY_CYCLE => 0.500, + CLKOUT1_DIVIDE => 64, + CLKOUT1_PHASE => 0.000, + CLKOUT1_DUTY_CYCLE => 0.500, + CLKOUT2_DIVIDE => 35, --35 ~33Mhz Z80 --128 = 8mhz --51 = 20mhz + CLKOUT2_PHASE => 0.000, + CLKOUT2_DUTY_CYCLE => 0.500, + CLKOUT3_DIVIDE => 16, + CLKOUT3_PHASE => 0.000, + CLKOUT3_DUTY_CYCLE => 0.500, + CLKIN_PERIOD => 20.0, + REF_JITTER => 0.010) + port map + -- Output clocks + (CLKFBOUT => clkfbout, + CLKOUT0 => clkout0, + CLKOUT1 => clkout1, + CLKOUT2 => clkout2, + CLKOUT3 => clkout3, + CLKOUT4 => clkout4_unused, + CLKOUT5 => clkout5_unused, + LOCKED => locked_unused, + RST => '0', + -- Input clock control + CLKFBIN => clkfbout_buf, + CLKIN => clkin1); + + -- Output buffering + ------------------------------------- + clkf_buf : BUFG + port map + (O => clkfbout_buf, + I => clkfbout); + + + clkout1_buf : BUFG + port map + (O => clk32, + I => clkout0); + + clkout2_buf : BUFG + port map + (O => clk16, + I => clkout1); + + clkout3_buf : BUFG + port map + (O => clk_cpu, + I => clkout2); + + clkout4_buf : BUFG + port map + (O => clk64, + I => clkout3); + +end behavioral; diff --git a/cores/MasterSystem/src/psg.vhd b/cores/MasterSystem/src/psg.vhd index aaae1d6..15fda04 100644 --- a/cores/MasterSystem/src/psg.vhd +++ b/cores/MasterSystem/src/psg.vhd @@ -11,7 +11,7 @@ end entity; architecture rtl of psg is - signal clk_divide : unsigned(5 downto 0) := "000000"; + signal clk_divide : unsigned(6 downto 0) := "0000000"; --unsigned(5 downto 0) := "000000"; signal clk32 : std_logic; signal regn : std_logic_vector(2 downto 0); signal tone0 : std_logic_vector(9 downto 0):="0000100000"; @@ -82,8 +82,7 @@ begin inst_dac: dac port map ( --- clk => clk, - clk => clk32, + clk => clk, --clk32 input => outputs, output => output ); @@ -93,7 +92,7 @@ begin clk_divide <= clk_divide+1; end if; end process; - clk32 <= std_logic(clk_divide(5)); + clk32 <= std_logic(clk_divide(6)); --5 process (clk, WR_n) begin diff --git a/cores/MasterSystem/src/rgb_video.vhd b/cores/MasterSystem/src/rgb_video.vhd new file mode 100644 index 0000000..1d56560 --- /dev/null +++ b/cores/MasterSystem/src/rgb_video.vhd @@ -0,0 +1,136 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 11:59:35 01/22/2012 +-- Design Name: +-- Module Name: vdp_timing - Behavioral +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.NUMERIC_STD.ALL; + +entity rgb_video is + port ( + clk16: in std_logic; + clk8: in std_logic; + x: out unsigned(8 downto 0); + y: out unsigned(7 downto 0); + vblank: out std_logic; + hblank: out std_logic; + color: in std_logic_vector(5 downto 0); + hsync: out std_logic; + vsync: out std_logic; + red: out std_logic_vector(1 downto 0); + green: out std_logic_vector(1 downto 0); + blue: out std_logic_vector(1 downto 0)); +end rgb_video; + +architecture Behavioral of rgb_video is + + signal hcount: unsigned (8 downto 0) := (others=>'0'); + signal vcount: unsigned (8 downto 0) := (others=>'0'); + signal visible: boolean; + + signal y9: unsigned (8 downto 0); + + signal in_vbl: std_logic; + signal screen_sync: std_logic; + signal vbl_sync: std_logic; + + +begin + + process (clk8) + begin + if rising_edge(clk8) then + if hcount=511 then + hcount <= (others => '0'); + if vcount=311 then --PAL = 311 / NTSC = 261 + vcount <= (others=>'0'); + else + vcount <= vcount + 1; + end if; + else + hcount <= hcount + 1; + end if; + end if; + end process; + + visible <= vcount>=35 and vcount<302 and hcount>=91 and hcount<509-38; + --PAL = 302, NTSC = 255 + process (hcount) + begin + if hcount<38 then + screen_sync <= '0'; + else + screen_sync <= '1'; + end if; + end process; + + in_vbl <= '1' when vcount<9 else '0'; + + x <= hcount-151; + y9 <= vcount-70; --PAL = -70 , NTSC = -40 + y <= y9(7 downto 0); + vblank <= '1' when hcount=0 and vcount=0 else '0'; + hblank <= '1' when hcount=0 else '0'; + + process (vcount,hcount) + begin + if vcount<3 or (vcount>=6 and vcount<9) then + -- _^^^^^_^^^^^ : low pulse = 2.35us + if hcount<19 or (hcount>=254 and hcount<254+19) then + vbl_sync <= '0'; + else + vbl_sync <= '1'; + end if; + else + -- ____^^ : high pulse = 4.7us + if hcount<(254-38) or (hcount>=254 and hcount<509-38) then + vbl_sync <= '0'; + else + vbl_sync <= '1'; + end if; + end if; + end process; + + process (in_vbl,screen_sync,vbl_sync) + begin + if in_vbl='1' then + hsync <= vbl_sync; + else + hsync <= screen_sync; + end if; + end process; + +vsync <= '1'; + + process (clk16) + begin + if rising_edge(clk16) then + if visible then + red <= color(1 downto 0); + green <= color(3 downto 2); + blue <= color(5 downto 4); + else + red <= (others=>'0'); + green <= (others=>'0'); + blue <= (others=>'0'); + end if; + end if; + end process; + +end Behavioral; + diff --git a/cores/MasterSystem/src/sms_bd.bmm b/cores/MasterSystem/src/sms_bd.bmm index 154a255..936cb8b 100644 --- a/cores/MasterSystem/src/sms_bd.bmm +++ b/cores/MasterSystem/src/sms_bd.bmm @@ -1,6 +1,6 @@ // BMM LOC annotation file. // -// Release 12.4 - Data2MEM M.81d, build 1.9 Aug 19, 2010 +// Release 14.6 - P.20131013, build 3.0.10 Apr 3, 2013 // Copyright (c) 1995-2015 Xilinx, Inc. All rights reserved. @@ -12,7 +12,6 @@ ADDRESS_MAP bootrom PPC405 0 - /////////////////////////////////////////////////////////////////////////////// // // Processor 'bootrom' address space 'boot_code' 0x00000000:0x00003FFF (16 KBytes). @@ -21,14 +20,14 @@ ADDRESS_MAP bootrom PPC405 0 ADDRESS_SPACE boot_code RAMB16 [0x00000000:0x00003FFF] BUS_BLOCK - system_inst/boot_rom_inst/ram_blocks[7].inst [7:7] PLACED = X1Y30; - system_inst/boot_rom_inst/ram_blocks[6].inst [6:6] PLACED = X1Y26; - system_inst/boot_rom_inst/ram_blocks[5].inst [5:5] PLACED = X1Y24; - system_inst/boot_rom_inst/ram_blocks[4].inst [4:4] PLACED = X1Y16; - system_inst/boot_rom_inst/ram_blocks[3].inst [3:3] PLACED = X1Y12; - system_inst/boot_rom_inst/ram_blocks[2].inst [2:2] PLACED = X1Y14; - system_inst/boot_rom_inst/ram_blocks[1].inst [1:1] PLACED = X1Y10; - system_inst/boot_rom_inst/ram_blocks[0].inst [0:0] PLACED = X0Y28; + system_inst/boot_rom_inst/ram_blocks[7].inst RAMB16 [7:7] [0:16383] PLACED = X1Y4; + system_inst/boot_rom_inst/ram_blocks[6].inst RAMB16 [6:6] [0:16383] PLACED = X1Y6; + system_inst/boot_rom_inst/ram_blocks[5].inst RAMB16 [5:5] [0:16383] PLACED = X1Y10; + system_inst/boot_rom_inst/ram_blocks[4].inst RAMB16 [4:4] [0:16383] PLACED = X1Y8; + system_inst/boot_rom_inst/ram_blocks[3].inst RAMB16 [3:3] [0:16383] PLACED = X1Y12; + system_inst/boot_rom_inst/ram_blocks[2].inst RAMB16 [2:2] [0:16383] PLACED = X1Y24; + system_inst/boot_rom_inst/ram_blocks[1].inst RAMB16 [1:1] [0:16383] PLACED = X1Y22; + system_inst/boot_rom_inst/ram_blocks[0].inst RAMB16 [0:0] [0:16383] PLACED = X1Y26; END_BUS_BLOCK; END_ADDRESS_SPACE; @@ -41,14 +40,14 @@ ADDRESS_MAP bootrom PPC405 0 ADDRESS_SPACE vram_code RAMB16 [0x00008000:0x0000BFFF] BUS_BLOCK - system_inst/vdp_inst/vdp_vram_inst/ram_blocks[7].inst [7:7] PLACED = X0Y16; - system_inst/vdp_inst/vdp_vram_inst/ram_blocks[6].inst [6:6] PLACED = X0Y24; - system_inst/vdp_inst/vdp_vram_inst/ram_blocks[5].inst [5:5] PLACED = X0Y26; - system_inst/vdp_inst/vdp_vram_inst/ram_blocks[4].inst [4:4] PLACED = X0Y22; - system_inst/vdp_inst/vdp_vram_inst/ram_blocks[3].inst [3:3] PLACED = X0Y14; - system_inst/vdp_inst/vdp_vram_inst/ram_blocks[2].inst [2:2] PLACED = X0Y12; - system_inst/vdp_inst/vdp_vram_inst/ram_blocks[1].inst [1:1] PLACED = X0Y18; - system_inst/vdp_inst/vdp_vram_inst/ram_blocks[0].inst [0:0] PLACED = X0Y20; + system_inst/vdp_inst/vdp_vram_inst/ram_blocks[7].inst RAMB16 [7:7] [0:16383] PLACED = X0Y10; + system_inst/vdp_inst/vdp_vram_inst/ram_blocks[6].inst RAMB16 [6:6] [0:16383] PLACED = X0Y12; + system_inst/vdp_inst/vdp_vram_inst/ram_blocks[5].inst RAMB16 [5:5] [0:16383] PLACED = X0Y14; + system_inst/vdp_inst/vdp_vram_inst/ram_blocks[4].inst RAMB16 [4:4] [0:16383] PLACED = X0Y24; + system_inst/vdp_inst/vdp_vram_inst/ram_blocks[3].inst RAMB16 [3:3] [0:16383] PLACED = X0Y22; + system_inst/vdp_inst/vdp_vram_inst/ram_blocks[2].inst RAMB16 [2:2] [0:16383] PLACED = X0Y20; + system_inst/vdp_inst/vdp_vram_inst/ram_blocks[1].inst RAMB16 [1:1] [0:16383] PLACED = X0Y18; + system_inst/vdp_inst/vdp_vram_inst/ram_blocks[0].inst RAMB16 [0:0] [0:16383] PLACED = X0Y16; END_BUS_BLOCK; END_ADDRESS_SPACE; diff --git a/cores/MasterSystem/src/sms_rgb.vhd b/cores/MasterSystem/src/sms_rgb.vhd new file mode 100644 index 0000000..b7a0a7a --- /dev/null +++ b/cores/MasterSystem/src/sms_rgb.vhd @@ -0,0 +1,196 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.NUMERIC_STD.ALL; + +entity sms_rgb is + port ( + clk: in STD_LOGIC; + + ram_we_n: out STD_LOGIC; + ram_a: out STD_LOGIC_VECTOR(18 downto 0); + ram_d: inout STD_LOGIC_VECTOR(7 downto 0); --Q + +-- j1_MDsel: out STD_LOGIC; --Q + j1_up: in STD_LOGIC; + j1_down: in STD_LOGIC; + j1_left: in STD_LOGIC; + j1_right: in STD_LOGIC; + j1_tl: in STD_LOGIC; + j1_tr: inout STD_LOGIC; + + audio_l: out STD_LOGIC; + audio_r: out STD_LOGIC; + + red: out STD_LOGIC_VECTOR(2 downto 0); --Q + green: out STD_LOGIC_VECTOR(2 downto 0); --Q + blue: out STD_LOGIC_VECTOR(2 downto 0); --Q + hsync: out STD_LOGIC; + vsync: out STD_LOGIC; + + spi_do: in STD_LOGIC; + spi_sclk: out STD_LOGIC; + spi_di: out STD_LOGIC; + spi_cs_n: buffer STD_LOGIC; --Q + + led: out STD_LOGIC; --Q + + NTSC : out std_logic; --Q + PAL : out std_logic --Q + ); +end sms_rgb; + +architecture Behavioral of sms_rgb is + + component clock is + port ( + clk_in: in std_logic; + clk_cpu: out std_logic; + clk16: out std_logic; + clk32: out std_logic; + clk64: out std_logic); + end component; + + component system is + port ( + clk_cpu: in STD_LOGIC; + clk_vdp: in STD_LOGIC; + + ram_we_n: out STD_LOGIC; + ram_a: out STD_LOGIC_VECTOR(18 downto 0); + ram_d: inout STD_LOGIC_VECTOR(7 downto 0); + + j1_up: in STD_LOGIC; + j1_down: in STD_LOGIC; + j1_left: in STD_LOGIC; + j1_right: in STD_LOGIC; + j1_tl: in STD_LOGIC; + j1_tr: inout STD_LOGIC; + j2_up: in STD_LOGIC; + j2_down: in STD_LOGIC; + j2_left: in STD_LOGIC; + j2_right: in STD_LOGIC; + j2_tl: in STD_LOGIC; + j2_tr: inout STD_LOGIC; + reset: in STD_LOGIC; + pause: in STD_LOGIC; + + x: in UNSIGNED(8 downto 0); + y: in UNSIGNED(7 downto 0); + vblank: in STD_LOGIC; + hblank: in STD_LOGIC; + color: out STD_LOGIC_VECTOR(5 downto 0); + audio: out STD_LOGIC; + + spi_do: in STD_LOGIC; + spi_sclk: out STD_LOGIC; + spi_di: out STD_LOGIC; + spi_cs_n: out STD_LOGIC +); + end component; + + component rgb_video is + port ( + clk16: in std_logic; + clk8: in std_logic; --Q + x: out unsigned(8 downto 0); + y: out unsigned(7 downto 0); + vblank: out std_logic; + hblank: out std_logic; + color: in std_logic_vector(5 downto 0); + hsync: out std_logic; + vsync: out std_logic; + red: out std_logic_vector(1 downto 0); + green: out std_logic_vector(1 downto 0); + blue: out std_logic_vector(1 downto 0) +); + end component; + + signal clk_cpu: std_logic; + signal clk16: std_logic; + signal clk8: std_logic; + + signal x: unsigned(8 downto 0); + signal y: unsigned(7 downto 0); + signal vblank: std_logic; + signal hblank: std_logic; + signal color: std_logic_vector(5 downto 0); + signal audio: std_logic; + + signal j2_tr: std_logic; + +begin + + clock_inst: clock + port map ( + clk_in => clk, + clk_cpu => clk_cpu, + clk16 => clk16, + clk32 => clk8, --clk32 => open + clk64 => open); + + video_inst: rgb_video + port map ( + clk16 => clk16, + clk8 => clk8, --Q + x => x, + y => y, + vblank => vblank, + hblank => hblank, + color => color, + hsync => hsync, + vsync => vsync, + red => red(2 downto 1), --Q + green => green(2 downto 1), --Q + blue => blue(2 downto 1) --Q + ); + + red(0) <= '0'; --Q + green(0) <= '0'; --Q + blue(0) <= '0'; --Q + + system_inst: system + port map ( + clk_cpu => clk_cpu, --clk_cpu + clk_vdp => clk8, --clk16 + + ram_we_n => ram_we_n, + ram_a => ram_a, + ram_d => ram_d, + + j1_up => j1_up, + j1_down => j1_down, + j1_left => j1_left, + j1_right => j1_right, + j1_tl => j1_tl, + j1_tr => j1_tr, + j2_up => '1', + j2_down => '1', + j2_left => '1', + j2_right => '1', + j2_tl => '1', + j2_tr => j2_tr, + reset => '1', + pause => '1', + + x => x, + y => y, + vblank => vblank, + hblank => hblank, + color => color, + audio => audio, + + spi_do => spi_do, + spi_sclk => spi_sclk, + spi_di => spi_di, + spi_cs_n => spi_cs_n + ); + + led <= not spi_cs_n; --Q + + audio_l <= audio; + audio_r <= audio; + + NTSC <= '0'; + PAL <= '1'; + +end Behavioral; diff --git a/cores/MasterSystem/src/sms_vga.vhd b/cores/MasterSystem/src/sms_vga.vhd index b99acce..8f9d9f2 100644 --- a/cores/MasterSystem/src/sms_vga.vhd +++ b/cores/MasterSystem/src/sms_vga.vhd @@ -10,7 +10,7 @@ entity sms_vga is ram_a: out STD_LOGIC_VECTOR(18 downto 0); ram_d: inout STD_LOGIC_VECTOR(7 downto 0); --Q - j1_MDsel: out STD_LOGIC; --Q +-- j1_MDsel: out STD_LOGIC; --Q j1_up: in STD_LOGIC; j1_down: in STD_LOGIC; j1_left: in STD_LOGIC; @@ -32,8 +32,10 @@ entity sms_vga is spi_di: out STD_LOGIC; spi_cs_n: buffer STD_LOGIC; --Q - tx: out STD_LOGIC; - led: out STD_LOGIC --Q + led: out STD_LOGIC; --Q + + NTSC : out std_logic; --Q + PAL : out std_logic --Q ); end sms_vga; @@ -82,26 +84,24 @@ architecture Behavioral of sms_vga is spi_do: in STD_LOGIC; spi_sclk: out STD_LOGIC; spi_di: out STD_LOGIC; - spi_cs_n: out STD_LOGIC ; - - tx: out STD_LOGIC + spi_cs_n: out STD_LOGIC ); end component; component vga_video is port ( clk16: in std_logic; - dither: in std_logic; x: out unsigned(8 downto 0); - y: out unsigned(7 downto 0); + y: out unsigned(7 downto 0); vblank: out std_logic; hblank: out std_logic; color: in std_logic_vector(5 downto 0); hsync: out std_logic; vsync: out std_logic; - red: out std_logic_vector(1 downto 0); + red: out std_logic_vector(1 downto 0); green: out std_logic_vector(1 downto 0); - blue: out std_logic_vector(1 downto 0)); + blue: out std_logic_vector(1 downto 0) +); end component; signal clk_cpu: std_logic; @@ -120,25 +120,23 @@ begin clock_inst: clock port map ( - clk_in => clk, - clk_cpu => clk_cpu, + clk_in => clk, + clk_cpu => clk_cpu, clk16 => clk16, - clk32 => open, + clk32 => open, clk64 => open); video_inst: vga_video port map ( clk16 => clk16, - dither => '0', --1 x => x, y => y, - vblank => vblank, - hblank => hblank, - color => color, - + vblank => vblank, + hblank => hblank, + color => color, hsync => hsync, vsync => vsync, - red => red(2 downto 1), --Q + red => red(2 downto 1), --Q green => green(2 downto 1), --Q blue => blue(2 downto 1) --Q ); @@ -149,22 +147,22 @@ begin system_inst: system port map ( - clk_cpu => clk_cpu, - clk_vdp => clk16, + clk_cpu => clk_cpu, --clk_cpu + clk_vdp => clk16, --clk16 ram_we_n => ram_we_n, ram_a => ram_a, ram_d => ram_d, j1_up => j1_up, - j1_down => j1_down, - j1_left => j1_left, + j1_down => j1_down, + j1_left => j1_left, j1_right => j1_right, j1_tl => j1_tl, j1_tr => j1_tr, j2_up => '1', - j2_down => '1', - j2_left => '1', + j2_down => '1', + j2_left => '1', j2_right => '1', j2_tl => '1', j2_tr => j2_tr, @@ -173,25 +171,23 @@ begin x => x, y => y, - vblank => vblank, - hblank => hblank, + vblank => vblank, + hblank => hblank, color => color, audio => audio, - spi_do => spi_do, + spi_do => spi_do, spi_sclk => spi_sclk, - spi_di => spi_di, - spi_cs_n => spi_cs_n, - - tx => tx + spi_di => spi_di, + spi_cs_n => spi_cs_n ); - j1_MDsel <= '1'; --Q - led <= not spi_cs_n; --Q audio_l <= audio; audio_r <= audio; + NTSC <= '0'; + PAL <= '0'; + end Behavioral; - diff --git a/cores/MasterSystem/src/sms_zxuno.ucf b/cores/MasterSystem/src/sms_zxuno.ucf new file mode 100644 index 0000000..29eb396 --- /dev/null +++ b/cores/MasterSystem/src/sms_zxuno.ucf @@ -0,0 +1,69 @@ +#UCF para el ZX-UNO + +NET CLK LOC="P55" | IOSTANDARD=LVCMOS33 ; # CLK + +NET "led" LOC="P10" | IOSTANDARD=LVCMOS33; + +NET "j1_tr" LOC="P143" | IOSTANDARD=LVCMOS33 | PULLUP; +NET "j1_tl" LOC="P6" | IOSTANDARD=LVCMOS33 | PULLUP; +NET "j1_right" LOC="P5" | IOSTANDARD=LVCMOS33 | PULLUP; +NET "j1_left" LOC="P2" | IOSTANDARD=LVCMOS33 | PULLUP; +NET "j1_down" LOC="P1" | IOSTANDARD=LVCMOS33 | PULLUP; +NET "j1_up" LOC="P142" | IOSTANDARD=LVCMOS33 | PULLUP; + +NET "vsync" LOC="P85" | IOSTANDARD=LVCMOS33; +NET "hsync" LOC="P87" | IOSTANDARD=LVCMOS33; + +NET "green(0)" LOC="P82" | IOSTANDARD=LVCMOS33; +NET "red(0)" LOC="P88" | IOSTANDARD=LVCMOS33; +NET "blue(0)" LOC="P79" | IOSTANDARD=LVCMOS33; + +NET "green(1)" LOC="P83" | IOSTANDARD=LVCMOS33; +NET "red(1)" LOC="P92" | IOSTANDARD=LVCMOS33; +NET "blue(1)" LOC="P80" | IOSTANDARD=LVCMOS33; + +NET "green(2)" LOC="P84" | IOSTANDARD=LVCMOS33; +NET "red(2)" LOC="P93" | IOSTANDARD=LVCMOS33; +NET "blue(2)" LOC="P81" | IOSTANDARD=LVCMOS33; + +NET "spi_do" LOC="P78" | IOSTANDARD=LVCMOS33 | DRIVE=8 | SLEW=FAST; # B1 +NET "spi_sclk" LOC="P75" | IOSTANDARD=LVCMOS33 | DRIVE=8 | SLEW=FAST; # B2 +NET "spi_di" LOC="P74" | IOSTANDARD=LVCMOS33 | DRIVE=8 | SLEW=FAST; # B3 +NET "spi_cs_n" LOC="P59" | IOSTANDARD=LVCMOS33 | DRIVE=8 | SLEW=FAST; # B4 + +NET "audio_l" LOC="P8" | IOSTANDARD=LVCMOS33; +NET "audio_r" LOC="P9" | IOSTANDARD=LVCMOS33; + +NET ram_a(0) LOC="P115" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # ADDR0 +NET ram_a(1) LOC="P116" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # ADDR1 +NET ram_a(2) LOC="P117" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # ADDR2 +NET ram_a(3) LOC="P119" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # ADDR3 +NET ram_a(4) LOC="P120" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # ADDR4 +NET ram_a(5) LOC="P123" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # ADDR5 +NET ram_a(6) LOC="P126" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # ADDR6 +NET ram_a(7) LOC="P131" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # ADDR7 +NET ram_a(8) LOC="P127" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # ADDR8 +NET ram_a(9) LOC="P124" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # ADDR9 +NET ram_a(10) LOC="P118" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # ADDR10 +NET ram_a(11) LOC="P121" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # ADDR11 +NET ram_a(12) LOC="P133" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # ADDR12 +NET ram_a(13) LOC="P132" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # ADDR13 +NET ram_a(14) LOC="P137" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # ADDR14 +NET ram_a(15) LOC="P140" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # ADDR15 +NET ram_a(16) LOC="P139" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # ADDR16 +NET ram_a(17) LOC="P141" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # ADDR17 +NET ram_a(18) LOC="P138" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # ADDR18 + +NET ram_d(0) LOC="P114" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # DATA0 +NET ram_d(1) LOC="P112" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # DATA1 +NET ram_d(2) LOC="P111" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # DATA2 +NET ram_d(3) LOC="P99" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # DATA3 +NET ram_d(4) LOC="P100" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # DATA4 +NET ram_d(5) LOC="P101" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # DATA5 +NET ram_d(6) LOC="P102" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # DATA6 +NET ram_d(7) LOC="P104" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # DATA7 + +NET ram_WE_n LOC="P134" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # nWE + +NET NTSC LOC = "P67" | IOSTANDARD = LVCMOS33; +NET PAL LOC = "P66" | IOSTANDARD = LVCMOS33; \ No newline at end of file diff --git a/cores/MasterSystem/src/sms_zxuno_v3.ucf b/cores/MasterSystem/src/sms_zxuno_v3.ucf new file mode 100644 index 0000000..6389d58 --- /dev/null +++ b/cores/MasterSystem/src/sms_zxuno_v3.ucf @@ -0,0 +1,69 @@ +#UCF para el ZX-UNO + +NET CLK LOC="P55" | IOSTANDARD=LVCMOS33 ; # CLK + +NET "led" LOC="P10" | IOSTANDARD=LVCMOS33; + +NET "j1_tr" LOC="P39" | IOSTANDARD=LVCMOS33 | PULLUP; +NET "j1_tl" LOC="P2" | IOSTANDARD=LVCMOS33 | PULLUP; +NET "j1_right" LOC="P7" | IOSTANDARD=LVCMOS33 | PULLUP; +NET "j1_left" LOC="P6" | IOSTANDARD=LVCMOS33 | PULLUP; +NET "j1_down" LOC="P5" | IOSTANDARD=LVCMOS33 | PULLUP; +NET "j1_up" LOC="P1" | IOSTANDARD=LVCMOS33 | PULLUP; + +NET "vsync" LOC="P85" | IOSTANDARD=LVCMOS33; +NET "hsync" LOC="P87" | IOSTANDARD=LVCMOS33; + +NET "green(0)" LOC="P82" | IOSTANDARD=LVCMOS33; +NET "red(0)" LOC="P88" | IOSTANDARD=LVCMOS33; +NET "blue(0)" LOC="P79" | IOSTANDARD=LVCMOS33; + +NET "green(1)" LOC="P83" | IOSTANDARD=LVCMOS33; +NET "red(1)" LOC="P92" | IOSTANDARD=LVCMOS33; +NET "blue(1)" LOC="P80" | IOSTANDARD=LVCMOS33; + +NET "green(2)" LOC="P84" | IOSTANDARD=LVCMOS33; +NET "red(2)" LOC="P93" | IOSTANDARD=LVCMOS33; +NET "blue(2)" LOC="P81" | IOSTANDARD=LVCMOS33; + +NET "spi_do" LOC="P78" | IOSTANDARD=LVCMOS33 | DRIVE=8 | SLEW=FAST; # B1 +NET "spi_sclk" LOC="P75" | IOSTANDARD=LVCMOS33 | DRIVE=8 | SLEW=FAST; # B2 +NET "spi_di" LOC="P74" | IOSTANDARD=LVCMOS33 | DRIVE=8 | SLEW=FAST; # B3 +NET "spi_cs_n" LOC="P59" | IOSTANDARD=LVCMOS33 | DRIVE=8 | SLEW=FAST; # B4 + +NET "audio_l" LOC="P8" | IOSTANDARD=LVCMOS33; +NET "audio_r" LOC="P9" | IOSTANDARD=LVCMOS33; + +NET ram_a(0) LOC="P143" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # ADDR0 +NET ram_a(1) LOC="P142" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # ADDR1 +NET ram_a(2) LOC="P141" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # ADDR2 +NET ram_a(3) LOC="P140" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # ADDR3 +NET ram_a(4) LOC="P139" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # ADDR4 +NET ram_a(5) LOC="P104" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # ADDR5 +NET ram_a(6) LOC="P102" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # ADDR6 +NET ram_a(7) LOC="P101" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # ADDR7 +NET ram_a(8) LOC="P100" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # ADDR8 +NET ram_a(9) LOC="P99" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # ADDR9 +NET ram_a(10) LOC="P112" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # ADDR10 +NET ram_a(11) LOC="P114" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # ADDR11 +NET ram_a(12) LOC="P115" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # ADDR12 +NET ram_a(13) LOC="P116" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # ADDR13 +NET ram_a(14) LOC="P117" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # ADDR14 +NET ram_a(15) LOC="P131" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # ADDR15 +NET ram_a(16) LOC="P133" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # ADDR16 +NET ram_a(17) LOC="P134" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # ADDR17 +NET ram_a(18) LOC="P137" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # ADDR18 + +NET ram_d(0) LOC="P132" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # DATA0 +NET ram_d(1) LOC="P126" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # DATA1 +NET ram_d(2) LOC="P123" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # DATA2 +NET ram_d(3) LOC="P120" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # DATA3 +NET ram_d(4) LOC="P119" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # DATA4 +NET ram_d(5) LOC="P121" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # DATA5 +NET ram_d(6) LOC="P124" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # DATA6 +NET ram_d(7) LOC="P127" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # DATA7 + +NET ram_WE_n LOC="P118" | IOSTANDARD=LVCMOS33 | SLEW=FAST; # nWE + +NET NTSC LOC = "P67" | IOSTANDARD = LVCMOS33; +NET PAL LOC = "P66" | IOSTANDARD = LVCMOS33; \ No newline at end of file diff --git a/cores/MasterSystem/src/system.vhd b/cores/MasterSystem/src/system.vhd index 9bd9910..0374dfc 100644 --- a/cores/MasterSystem/src/system.vhd +++ b/cores/MasterSystem/src/system.vhd @@ -38,14 +38,12 @@ entity system is spi_do: in STD_LOGIC; spi_sclk: out STD_LOGIC; spi_di: out STD_LOGIC; - spi_cs_n: out STD_LOGIC; - - tx: out STD_LOGIC); + spi_cs_n: out STD_LOGIC + ); end system; architecture Behavioral of system is --- component dummy_z80 is component T80se is generic( Mode : integer := 0; -- 0 => Z80, 1 => Fast Z80, 2 => 8080, 3 => GB @@ -143,7 +141,6 @@ architecture Behavioral of system is A: in STD_LOGIC_VECTOR(13 downto 0); D_out: out STD_LOGIC_VECTOR(7 downto 0)); end component; - component spi is port ( @@ -160,15 +157,6 @@ architecture Behavioral of system is mosi: out STD_LOGIC); end component; - component uart_tx is - port ( - clk: in std_logic; - WR_n: in std_logic; - D_in: in std_logic_vector(7 downto 0); - serial_out: out std_logic; - ready: out std_logic); - end component; - signal RESET_n: std_logic; signal RD_n: std_logic; signal WR_n: std_logic; @@ -190,11 +178,9 @@ architecture Behavioral of system is signal io_WR_n: std_logic; signal io_D_out: std_logic_vector(7 downto 0); --- signal ram_RD_n: std_logic; signal ram_WR_n: std_logic; signal ram_D_out: std_logic_vector(7 downto 0); --- signal rom_RD_n: std_logic; signal rom_WR_n: std_logic; signal rom_D_out: std_logic_vector(7 downto 0); @@ -202,12 +188,8 @@ architecture Behavioral of system is signal spi_WR_n: std_logic; signal spi_D_out: std_logic_vector(7 downto 0); --- signal boot_rom_RD_n: std_logic; signal boot_rom_D_out: std_logic_vector(7 downto 0); - signal uart_WR_n: std_logic; - signal uart_D_out: std_logic_vector(7 downto 0); - signal reset_counter: unsigned(3 downto 0) := "1111"; signal bootloader: std_logic := '0'; signal irom_D_out: std_logic_vector(7 downto 0); @@ -218,7 +200,6 @@ architecture Behavioral of system is signal bank2: std_logic_vector(4 downto 0); --Q begin --- z80_inst: dummy_z80 z80_inst: T80se port map( @@ -307,7 +288,6 @@ begin A => A(13 downto 0), D_out => boot_rom_D_out); --- spi_inst: dummy_spi spi_inst: spi port map ( clk => clk_cpu, @@ -321,20 +301,6 @@ begin sclk => spi_sclk, miso => spi_do, mosi => spi_di); - - uart_tx_inst: uart_tx - port map ( - clk => clk_cpu, - WR_n => uart_WR_n, - D_in => D_in, - serial_out => tx, - ready => uart_D_out(0)); - - uart_D_out(7 downto 1) <= (others=>'0'); - - - - -- glue logic @@ -354,8 +320,6 @@ begin spi_WR_n <= bootloader or WR_n when io_n='0' and A(7 downto 5)="110" else '1'; - uart_WR_n<= bootloader or WR_n when io_n='0' and A(7 downto 5)="111" else '1'; - ram_WR_n <= WR_n when io_n='1' and A(15 downto 14)="11" else '1'; rom_WR_n <= bootloader or WR_n when io_n='1' and A(15 downto 14)="10" else '1'; @@ -378,14 +342,14 @@ begin irom_D_out <= boot_rom_D_out when bootloader='0' and A(15 downto 14)="00" else rom_D_out; - process (io_n,A,spi_D_out,uart_D_out,vdp_D_out,vdp_D_out,io_D_out,irom_D_out,irom_D_out,irom_D_out,ram_D_out) + process (io_n,A,spi_D_out,vdp_D_out,vdp_D_out,io_D_out,irom_D_out,irom_D_out,irom_D_out,ram_D_out) begin if io_n='0' then case A(7 downto 5) is when "000" => D_out <= spi_D_out; when "001" => - D_out <= uart_D_out; + D_out <= "00000000"; when "110"|"111" => D_out <= io_D_out; when others => @@ -400,7 +364,6 @@ begin end if; end process; - -- external ram control process (clk_cpu) @@ -443,4 +406,3 @@ begin rom_D_out<= ram_d(7 downto 0); --Q end Behavioral; - diff --git a/cores/MasterSystem/src/vga_video.vhd b/cores/MasterSystem/src/vga_video.vhd index 38a9e08..82ae168 100644 --- a/cores/MasterSystem/src/vga_video.vhd +++ b/cores/MasterSystem/src/vga_video.vhd @@ -24,7 +24,6 @@ use IEEE.NUMERIC_STD.ALL; entity vga_video is port ( clk16: in std_logic; - dither: in std_logic; x: out unsigned(8 downto 0); y: out unsigned(7 downto 0); vblank: out std_logic; @@ -44,7 +43,6 @@ architecture Behavioral of vga_video is signal visible: boolean; signal y9: unsigned (8 downto 0); - signal screen_n: std_logic_vector (1 downto 0) := (others=>'0'); begin @@ -64,7 +62,7 @@ begin end if; end process; - x <= hcount-(91+75); + x <= hcount-(91+62); y9 <= vcount(9 downto 1)-(13+27); y <= y9(7 downto 0); hblank <= '1' when hcount=0 and vcount(0 downto 0)=0 else '0'; @@ -76,52 +74,14 @@ begin visible <= vcount>=35 and vcount<35+480 and hcount>=91 and hcount<91+406; process (clk16) - begin - if rising_edge(clk16) then - if vcount=0 and hcount=0 then - case screen_n is - when "00" => screen_n <= "01"; - when "01" => screen_n <= "11"; - when "11" => screen_n <= "10"; - when others => screen_n <= "00"; - end case; - end if; - end if; - end process; - - process (clk16) - variable pixel_n: std_logic_vector(1 downto 0); begin if rising_edge(clk16) then if visible then - if dither='1' then - pixel_n := std_logic_vector(hcount(0 downto 0))&std_logic_vector(vcount(0 downto 0)); - pixel_n(0) := pixel_n(0) xor screen_n(0); - pixel_n(1) := pixel_n(1) xor screen_n(1); - case pixel_n is - when "00" => - red(1) <= color(0); - green(1) <= color(2); - blue(1) <= color(4); - when "01" | "10" => - red(1) <= color(1); - green(1) <= color(3); - blue(1) <= color(5); - when others => - red(1) <= color(0) and color(1); - green(1) <= color(2) and color(3); - blue(1) <= color(4) and color(5); - end case; - red(0) <= '0'; - green(0) <= '0'; - blue(0) <= '0'; - else - red <= color(1 downto 0); + red <= color(1 downto 0); green <= color(3 downto 2); blue <= color(5 downto 4); - end if; else - red <= (others=>'0'); + red <= (others=>'0'); green <= (others=>'0'); blue <= (others=>'0'); end if; @@ -129,4 +89,3 @@ begin end process; end Behavioral; -