From ba5db596ffa8cecc94e7c7bcc05534358cd230e4 Mon Sep 17 00:00:00 2001 From: antoniovillena Date: Tue, 23 Aug 2016 21:30:41 +0200 Subject: [PATCH] Actualizo a zxpp02 --- cores/KypSpectrum/loram.ngc | 3 +++ cores/KypSpectrum/vga.vhd | 47 +++++++++++++++++++++++++++++++++---- cores/KypSpectrum/zxpp.vhd | 40 +++++++++++++++++++++++++++++-- 3 files changed, 84 insertions(+), 6 deletions(-) create mode 100644 cores/KypSpectrum/loram.ngc diff --git a/cores/KypSpectrum/loram.ngc b/cores/KypSpectrum/loram.ngc new file mode 100644 index 0000000..2645242 --- /dev/null +++ b/cores/KypSpectrum/loram.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$3`f44<,[o}e~g`n;"2*73>(-80!<74012345678=:0<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;8=5?0123456789:;<=>?0123456789:;4=>?0122456789::<=>?0122456789:9<=>;0:23456789:;<=>?0103456?89934=:?01235=7009:;=HK?011@B0D789:;<=>?0163?56789:;<=O?092;4=6789:;=;>?0123416789:;<9>?0123416789:;<9>?0123416<89:;<=>?102F4567?9::4=>?290E6FC7898M5;H?7G@F=3G7;OKH9=<73E476=67<91;<=>?0124BC@ANOOMJKHIFGD4BC@00:O?012346>6:9:;?A12345678=:H==>?75;;5567JL:3=N6?EB2;51>1N183=9:?;123456609;3I<=?410;454?<9:MI:L7010;4CD?0:938KL7827BGCDC09;MJKJ?15284567898H4=6?48:347>789I;<2;=4KIF0AG05=789:;<9M?4143546?9=:;>N><1GD135278OL3;=>I2D@;BFE0;OLOJ=M?8GDEB=6382:;<=>;0143536789::<<>700237@B?K9:;9>7?8B5EAD70:99M4=>9055EB54781>;7=>?0963477689:;:3821G<64:0=;89>?8942414B0H2:O9>40127656789:?>=>?01230=6789:;<=>?013;456789:;<=>?0123456789:;<=>?4193476789:H<;M?8165445789;;<>871E2@40@6?1:7I8:I5L>N81:74>67:9:;<<>?1G53454?>9:;?1G215567JJ:N=96LB90;552739:9<=>?152E<5678829<=>?0@24251C8=:8O4?M02DBA5368>LH8=6>06;E47>50=:0<=78323456D8:?J<=>?06A2242780838=M:0GA3A4>78O29<<>;0:23656?88<9=N>?0523046788:;=;88D1630C74199MI56<111EF=6B;9HOM5??1B63?565892;?=6IFG:34=>189:;<9>?EG7G5360K8;2O>HN6352G6@E<9O88>HMC320116<898;<5><41DEB=>7:19;<5>=211EF6B5998N=>M?3GFE50G3NOLO<:??BGD26567<91;<:6=856345E?89I;70:I:4;>?35637406:9:?<6>?FB6;5572J1:AE23656709:;<=:>012305E7898>5N=7412:65229;:2<=:?;133456?09?3::?9051E<4C?8;:M;KL7C11;4CD7J;9JO;L;F22FACDDM92152844678923486:E0C2<6078L2;?K<1C:@55@AN>2H<=HIFG3305=799:9<5>?59F55@63;O2:I5>=0G5EB5EF:MNMJ5OM2GF4F1G49LLMJIH?86DEA47382::HNL?0BC71F6AK1<>9N;?3B@3<56799>==5>?11274547=92;<4?8FB230D46<1>;7=?KE1434D60K8LO8?JMD120GD6789:9<=8?012145D78;O9<=>?086345G481:;89>400G@6=6?8=;H4=?72C11057DN9HMJKJ<832;4557<=:;<98?832;F51?L0I;J;789:?<=>?202375E4:O2H<=>>63@74>66NJ:3<=7:78:;5FG5;OH;8=6?1123456F89:=<=6619;33F5?>929H9696C650=2739;MOL6=0D6045@D8;>IO8>?0@2345378=:;5I6?01234G078=:0?012;4=>6N98;8O>M?=HKFGAF44@ANO;;8=5?212347E781:=45>?292351679>HMH=>>1CDE316C8>LM?5M:BGDEB=E71OLMJN>;0:214567<1:;<;>>00200565K99:JK>80B23BC>089;9IO6IC502BCBA09:3JKHI9163?54789:?4=??9G33455?899H<=>I7GD;456C1OL;4?01DAF2>789LM4:>?23DE=>?04:355C699;;>5>?21334C@FN1:;>5>IB9:17=6AJ9I8=LMI34F35C@AN0;?<6>=0123<=6>0L;:><><81DA<5460=ABDA@C66NOLM=<:?;103456>0923M=<=410@450?<;:MI>L7811;4CG70:838;L?C25FGCD2K9;MJKH>152847678933=HIFC:@<6>7NK:6L6C2;74CBNKN3<=HIFD2205=7:9:9<56?59C25767:?:;I=>90GDEF=EF;1:MN==<3@A5E5B49LIMNIM?8GDEB47382:9IN?0100457E09I9<59;7B034465:1>;7=899?8125456?89:?<=>?01360F1B<1:H49>4022345>?8<29=>:;43D;5@67:9LMJL69A2:3BG>3;:KNIO?770DFBG3D81=MJH=>41937565812;95;813337367M1:964?J8;?5;<85235FC7:;:;:=>?0103450789:9<=>8092BFF1?>9?66:5452739>;<5>70363456780;;=5>?03:0B=E58=:8?I8=8726E415<;:<448=473FGD>5K=:0<9>=017;446B98::?006245@4ML2;7NH:I??392FF=>5;1:MN=M=4@GE74>0;OOM?5=;0:273F6B;=88H5?IDB027267<9K9<=>?212:4567:923<==?BB13056789::?L=;0163?52EK92:44><45:2G77D98:=<=?>02D3G5C789=;O=?>C82546EA81:344HIFGD6<16<8L0@23714389;;MI9700234107<91;9IM?82:;62B788:9J87:02AAGG@2NH9?><>?0123@56789:;><6ND6A@4177:FB2075G79=2;=N<74@2365G689;M<=>I01234=6F898><9??00:;4563:9:><9:?;14E@527?C@73456389:;<=>=0523454581<;4<>=9N052843@CI1:3=?J786A@G51FK99;<;6?0133056?89;;4<>?013@5507:=LH59>>2C;305=7?9:9>FGDEBC>6NOLMJK6<=5>?01A34542899;<<>6FB3@0567:=>;7=9KD123<9:;8?7?8420GCG?8=:;<9:>0121456789>9<5>?8GCE<56>:1:;49>406DG4173I>:H4=>;22330547:92;<=>?0623456789:;?96?1162536789:O;5>74193<767098H<<>?012F455789>;<>K?A06;42@7M8>97I8:38N6?80274>6FI923<=:?212;45D79::;<=>?3D6355>7?O;;=9>?D5255567K12N=5:?E93;5F2738:;<=>?0123456781:8==6?05200A768=:>J4=>09256474<9:94=6=851F<7>5<=:0==>?0123453D89:;;==>0123GC@08OI;>=0123456489:;<=>?832345658;H;I<>N02CG246388O2:>M7026:27>78>:J4?M;0:334567899;<;>?016;746?89>;?;6?E1633CB5I99MIL7?315EE567919OJ=<71963?46789:;>=>?0123416699:;<=><51434361NM>;O5>;E042GF6409O;89:I8323416<99:;<9>?11234565>J9;<=>=DE@G5AE7898N58>?4135324?<18H=<>?42536567<91:<=>70121456789:3<>??01274715I;:;<>H6232346C>8::?4:ML62:35C6F082?<6??01:34547?J:;<=>?102345>ANOK:O=K?7G32<=DAJ?;8<5=IAB234=1EM98;==:?;02145678;:;<=>?032245>781:8?=>?0120E<06K;:<>8>?092A05078=>M?0528554789:;8=H781231=0781:9:==<06F3A550L8I;?K7N10:3BCD388;;?OL?292@05=68;:;<=?72123<5670=:;<=?LDCG2=A>?8>H:4<:?0DC3G6E68O:;M<:74G:344>382;;>=>?00A3B=6?8;H3>=>?01:103>4N92;;H=8951EG76699HMNL6J1BDGA=4791>;7<>=0123656789:;8?>>11234564>9:9<5>8F043416E11:949>J83C2410A0;2;49>411034565:9:;<=>?213245678?LH48M?015E7543:9:<<;??41@:<=638?O2>=>?41924767899;<=>?0122=?612744C>:82;981:74>77:9:;4=7;::;<=:?3G:54567?O;?<=:?F1:44F2?<1:N=N>;E9C3452738:9<=6?2324G5678?:?<=>?81DEA57C89:4C@F4766<=:0==012245519>N34=:I90:37CCB88:?JKL60D237A@6:9:?8=5>2323454789:;4=:=013345678:<;8<>705D:04>18L2;<<>?08:3A56?>;0:3165678;8;J5>?01:;056789:2?;>:C1637F?0099MJ>>>095EBA6B:19OJ>O?1537?42=AGZ^X7OKDSC?56<768>0=94FNQWW>DBCZK6:?7>115920?OIX\^1mij}a=30>586<2;?6D@_UU8b`ate4891<3??;08JJUSS2~oj0=4?>0285?OIX\^1{hl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j46rn{=qw`9=>&>9>474A3431B<0>;<=>?9GD04C@5=9=NMN?LBGFE4=7789K?IH48K?7B:0BC@>9028JL:?7120B<>7K8=8NN>?80274>1?KM::?82A;<56489::I=>>7GDG<577N::<<=J>FGDEG137NOLM4I;>EGD:GD273>2MJIH>C133456?01=3<=?90B3EBCB798:O55H?802EB=17K:8IJKHLC3@FBC1C8=:0;4:;01272A5?81;;8<=I9173<46?;O2;<>>?0323G56?119H?5H?00:;4@@00?:38=58BG2332CF8;;;<=?:FG;3BC750:O;5K:8FBD:7D2ANL8:;?>9;0:5AB14?999O?N>?054:7F27;JO2NKJIC2:24=6789:8<=>;11604=DFN1I;49OKC263?2BF9;INO<:;8==L10:35525:::3<=>;00:3426789:H=OH70127=G27<91B?L><9>KL00A65=668=:;;=6?07275=66JL:;<=>6A1654547:::?<69I790;7=0CL?:3?N:2819M5=>>012455E38JN>:==JB2:@@15ANOO<;N:?;6DE0D65<>N94?6?03D2EF66KM;2J4HL1133<5658;;3<=<<0913G660K1:;<4>835283C@ANOLN;KHIFGDF3C@ANOLN;KHIFGDF5C@ANOL3<16:;4=45<::;<=>=03@7GA4F8:O88I68FG@:2<>ENJI2=?M?01635C1?8;?;?=>?05476C5D;9H;?H?;196322B3812;;97809A13=G?;?>;75>?01234176NO23<;>M01235D47?:I9<:>481234566<9:;<=>>A9024565<1828H?611@5247>:<;:9>799:;<=>?7B274573;9:;<=>746AFA507:L;NI5?IECF7E51AJ?;>>9LKF903652731:?0433<467KO:90923<5658=>;<=<90B22<46AK>2M<=?N45G53D030=:04=HL023@=5CD81;HM=<:9167<46789:H?<1K;=L>716232F659>LMJ=:J06D;3F70;LH2NNMI2GDGB=E?0OLMJ<>;0::24547012>4I>>E162B=7A899:J:HI8D23BC>08898JKHMCE1ABC@AL123;KHJ0063?=4789:?O5>79D33455?899?<=?I35D3456AN1=;789:;=:>?043;1129;>JSSX\^1hb{{a=594;74310DYY^ZT;flqqd;?3:58=56012345679>I;<=;;:7901F3A1>189I=J=70::;<=:>01DE=2>798HI4:>731DE<2E7;:LMJKML38DEB4B><91J4KHKF0A;5565812;:5??1D3;4C@AL19:<5>6F1:04C@??928?OHIF5A3FC@ANM>37LJKR@>3:<=FLMXJ0<>19:CG@WG;98427LJKR@>26;d720MIJ]A=5=<>GCL[K74364AEFQE9?902KOH_L30?;8EABUJ5;;245NDEPA847912KOH_L31319:CG@WD;9:437LJKRC>2:==FLMXI0?07;@FGVG:4611JHI\M<5<;?DBCZK6>255NDEPA838?3HNO^O28>99B@ATE41437LJKRC>::6=FDE>;7O>>A902G57788;;8=87012@054A:>O;<==74D@;<75?8O8;5>?NEG@7@47AMO9259>4B1DB=77D88:;<<>;06:744E30;LMJH><02A7AG>?::2;J>6730CFBG3??:LNJO67419A<2E709:=H?>680A35F768>:3==6D>K=:;<5?63B2;4546;O;;8=M>091E<56689:=<=:>19263F5?>9>;MN697GF50=273KI?8=L>4C@FG5@C8;::M<>;493346@5K93;<=>?C1@34634K::?<<9NC10;4=2F<=:0NNLK891;=3163<47?;O2;<4>?0623<47?09<;?NHJC12;7@@AMO>38=5MFG:0BCB>NJ:8JKJN7B20BCBENOLMJKJMFGDEBCBENOLN<:JMFGD;457?89:;<=?;0:@EBC@ANMHMJKHIFE@F3C@B?MHN<=>?7EDF4567?ONN<=>?7CA@4567;KLM4=>>FG:8FPUXAGLD8=5L0123456798:;<=>?C023452D09=;O?:902GA27258>L:8?:9806:37619>:JO=O;0:A345678KI;<=>?02A345678:I;<I;<=>?02A345678:L;<=>?0G63?F6789:;NN>?01237F7?89;3?N?71G;;7@7?89;3;N?7013;7F5?89;H?K>?0123B16>113@4564KJ9;<=>?4GDA4?7ED;6F43<91H?01324C@A098:?0165<6@41J>I<>K61D60B@16;K:IJK:L72:AB26F888?<6M>01230F>309<2M=6?71250556NOLM<;M?FGDEG0D7>KLION=MFGDE?NOLM==:?;B33456?01?3:=?N092445038:;788>;<=HMDG2354DA0>:;9=9I86A7B6@ALO2O<4HIFG2305=D:9:;<9M7092F<=678=:;=9>?1G@E@5669KLM;9><0G@A3F2EIOLOJ5M?9GDEB=6382I9==>;0122BC@D099?N=>?01D34C5>K9=;?H?>E15E@2?409HMJH?80BDEB=4789>;7N:?0126<57?M9;;8==?013;056A>;K3<==70G@;<75?8OH3O>;NEG@;@55ANOL2=?5LE008GMCDCVFBOH@\E^VZT@2EKC;=;96MCK3Z;?FJL:Q;3<85LLJ;30>EKCH?0OAEN169@HNG6L;=0OAEN1E64?FJLI8N386MCKC78GIME9<1H@FM>5:AOOA723JF@H[m4CMIGRZBN^@NN96MCKGZ;?FJLNQ;3<45LOLOJPQCC9=1HC_KPGDLFVDRNGGUBBKA8;BPFEQCC<91O<=>?4125456789:88:>?01614757J;>H<>8>11:143D699:3<>69GMD:6:7=0HDO312<4?AOF48>5;6JFA=36:2=CAH6::394DHC?52803MCJ0<617:FJE97>6?1OEL2>>69GMD:587=0HDO320<4?AOF4;85;6JFA=00:2=CAH698394DHC?60803MCJ0?817:FJE9406>1OEL2=8?58@LG;:04=7IGN<3<4?AOF4::556JFA=12>5803MCJ0>?16:FJE959>2NBM1:16:FJE939>2NBM1816:FJE919>2NBM1616:FJE9?9>2NBN1>17:FJF9776>1OEO2>1?58@LD;9;4<7IGM<01=3>BNJ5;?2:5KIC>21;169GMG:617<0HDL31?58@LD;:94<7IGM<33=3>BNJ5892:5KIC>17;1908;EKA8739?2NBN1<9>69GMG:5?7=0HDL329<4?AOE4;35:6JFB=0=3>BNJ59;245KIC>05?69?2NBN1=>>79GMG:46?1OEO2;>79GMG:26?1OEO29>79GMG:06?1OEO27>79GMG:>6>1OE]O30?58@LVF484<7IG_A=0==>BNXH686=08;EKSE959?2NB\O2?>69GMUD;97=0HD^M<3<:?AOWJ591<394DHRA86813MEJ0=08;EMB8469?2NDM1?>>69GKD:6:7=0HBO312<4?AIF48>5;6J@A=36:2=CGH6::394DNC?52803MEJ0<617:FLE97>6?1OCL2>>69GKD:587=0HBO320<4?AIF4;85;6J@A=00:2=CGH698394DNC?60803MEJ0?817:FLE9406>1OCL2=8?58@JG;:04=7IAN<3<4?AIF4::556J@A=12>5803MEJ0>?16:FLE959>2NDM1:16:FLE939>2NDM1816:FLE919>2NDM1616:FLE9?9?2NDMR\JG79GKG:76>1OCO2>0?58@JD;984<7IAM<00=3>BHJ5;82:5KOC>20;169GKG:607=0HBL318<5?AIE484<7IAM<32=3>BHJ58:2:5KOC>16;1>08;EMA8729?2NDN1<:>69GKG:5>7=0HBL326<4?AIE4;25;6J@B=0::3=CGK692:5KOC>04;?69GKG:497<0HBL33?48@JD;<7<0HBL35?48@JD;>7<0HBL37?48@JD;07<0HBL39?58@JDXZLM<7IA_A=2=3>BHXH6:2:5KOQC?6;?4?>69GKUG;;7=0HB^M<1<4?AIWJ5;5;6J@PC>1:<=CGYH7?7>17:FLTG:46=:0I=HND13@<4>AN823<>6?00A3441AHIFG:@4G@AN:N;8=5J410;64E791O:==>?392351778O9JH5>?092FE=>4;1:MN56M1@GEF1?E;OOM?4:;0:G705779J::488214567K9::JKMI0133FG>A01:8JK6I0B10BC@AKJ3IJKH<9B63?@>D=<>:O=?7192;<54?89;N<=?IFBF3447AJ1=;<8>IF95@<25ANOL?O8LIFG1@06=BFH90ICLm;DL\VVRUIDUJn6KA_SQWVDKXJ=:0J5MID43@44>78923<>6?00G3G41ANO>:=<8=0G2355@ANO>;=?JIFGA@@BK=:;0103452689:98=M809237F5?<9:9==M=E22541273OLM4>HIFGD@46>4NOLH<:>?015EG26789=MO=>?0120G56789:88=5IFGDEBC@?89:;<=??0123456?898;JK?702A2BCBF8>I:JKJM06:2BCBEM>28JKJ;0:DEBC@ANOLMJKHIFGDEBC@ANOL;<=>IFGA3457ANOLM4=?IFGDE<57ANOLMN5=IFG63?C@ANOLMJKHIFGDEBC@ANOLMJKHIDGDEBC@D;OLMJKH73GDEBC@??OLMJKHMFGDEB4=@;2MEH55FNHVS[56?3@DBX]Q?199JJLRWW9837D@FTQ]37==NF@^[S=:7;HLJPUY7=11BBDZ__14;?LHN\YU;;:5FNHV\451N7:KMMQY7J>1BBDZP0B58MKOSW9N<7D@FT^2F3>OIA]U;J:5FNHV\551369JJLRX9==0ECG[_074?LHN\V;=;6GAIU]232=NF@^T=594IOKW[4?03@DBXR?N7:KMMQY6J>1BBDZP1B58MKOSW8N<7D@FT^3F3>OIA]U:J:5FNHV\651594IOKW[7?03@DBXR1BBDZP2B58MKOSW;N<7D@FT^0F3>OIA]U9J:5FNHV\751?8;HLJPZ55?2CEEYQ<369JJLRX;==0ECG[_274?LHN\V9=;6GAIU]032=NF@^T?594IOKW[6?03@DBXR=N7:KMMQY4J>1BBDZP3B58MKOSW:N<7D@FT^1F3>OIA]U8J;5FNHV\E3=NF@^TN45FNHV\BLJB>2FDMIKK6:NLGNCC?2FDKDMNLb9Neoiu^lxxeb`l;LkmkwPbzzcdb?5A039M52=IM]]D^F:4NNLF52=HX]CFEWKPPSKNMQOQMZUEKN?4Pb9S*766;8::?RB;;QCQPd=WAGUIY^GKXc9SMKYE]ZDJAH84PRFLJ@g;0Y=!wsu]ahnYjmdUlick}aumq+bhf|`|nn`i!n`vjr`YedbUfi`Qheo-ueioc&jy~"|nmmmlt^7Z&{kf"!|6^kmmq(uid%_^XKPDQ,SVLK60'xja:?4U1-{wqYedbUfi`Qheogqeqiu'ndjxdxjble-jdrn~lUi`fQbel]dak)qieco"n}{r.pbiiihxR8V"ob.s-p2Zoia}$ym`![RTG\@U(WZ@G:4#|nm638Q5){}Ui`fQbel]dakcui}ey#j`nthtffha)fh~bzhQmlj]nahY`mg%}magk.bqwv*tfeeed|V=R.scn*w)t>Vceey }al-WVPCXLY$[^DC>8/pbi27<]9%syQmlj]nahY`mgoymya}/flbplpbjdm%blzfvd]ahnYjmdUlic!yamkg*fusz&xjaaa`pZ6^*wgj&{%x:Rgaiu,qeh)SZ\OTH] _RHO2<+tfe>;0Y=!wsu]ahnYjmdUlick}aumq+bhf|`|nn`i!n`vjr`YedbUfi`Qheo-ueioc&jy~"|nmmmlt^3Z&{kf"!|6^kmmq(uid%_^XKPDQ,SVLK60'xja:?4U1-{wqYedbUfi`Qheogqeqiu'ndjxdxjble-jdrn~lUi`fQbel]dak)qieco"n}{r.pbiiihxRVceey }al-WVPCXLY$[^DC>8/pbif=R[LXTZD]FBMG0?SED12\BIZQ[YQG5?RCF494=7ZKN<0<5?RCF4;437ZKN<283:3=PMH682;5XEC>3:3=PMK6:2;5XEC>1:==PMK686=09;VGA868e3^XBXHQIISQWg>QUA]OTABJJ_@a8SWOSMVGDHHQM1e9[MIOIP$RON->!1!QWQG&7&8*J_NGF6:ZPPIOE?2RXXRIAD69[WQYQKJh0TRM@RD]JJCI13QniSDji;Yfk[Utne_oydaa119[`hYJiceyZh||inl24>^ceVGbbb|Yesqjkk527?6912koho312<;?dbczh6:255ndepb878?3hno~l2<>99b`atf4=437ljkr`>6:==flmxj0;07;`fgvd:0611jhi|n<9<;?dbczh62255ndepa858>3hno~o2>0?;8eabuj5;:245ndepa8449j2kohl31283:<=flmxi0<=18:cg`wd;9720mij}b=0=<>gcl{h7?364aefqf92902kohl35?:8eabuj5<546okds`?3;>8:`ooZkbeVmnbR~}il]tmaroW=;37obd_lgn[bciWyxbaRyfduj\14>6lck^ofiZabfVzye`Qxr`rsawYt>VceeyQ=239ahnYjmdUlicQrho\swgwxlxT;Qfnhv\7740:`ooZkbeVmnbRx8_20`?gjlWdofSjka_w5\7)&_aecet-M@RD"Dakcui}ey,<:!7068fimXelgTkh`Pv6]0[}us9:1i`fQbel]jjussWkfdof?;;cnh[hcjWf|xzPbmm`o1=ddbk?7nbdb69gmkg;87=0hd`n<0<4?aoii585;6jfn`>0:2=cagk78394dhlb80803mcem1819:fjjd:0294<7igaa=5=3>bnfk6;2:5kio`?5;169gmkd;=7=0hd`m<7<:?aoij5=1<394dhla828?3me~xl2?>99gkprf48437iazt`>1:==cg|~j0>07;emvpd:3611ocxzn<4<;?air|h6=2l5kotvb82<7611ocxzn<6<;?air|k6;255kotva848?3me~xo2=>99gkpre4:437iaztc>7:==cg|~i0807;emvpg:16h1ocxzm<683:==cg|~i0:0:;mmqeh2b;2fd~lc#bmi\i`kXoldTz:Q<,za\eabuiV}bhyf215.`[dbczkU|eizg=06/gZgkofxbxec21-a\e}oXamU|eizg=5.`[d~nWzfjzhQ{yqg>4)eXiqcTxt~j=0.`[gsmVybvh36,b]`khkhfVigg0>#c^ffbdsk|Vnjxl3?,b]gmvgedlU|m`Pbit\gjjk59&hSig|acnf[rgufVhczR|nnfg>4)eXmekico{inl\`lt:LV%yeno}dn-Svjbk}ex#k~ha.x{tu65'`zhckPdhp,phv(Wjm$ nQjn``oaZ55j`~Tmij}erq>4)eXnhgb`t3|p`pwek0+kVbjRowi^kg95*dWakxSh`n=1.`[mgtWldi1="l_icp[lhmmj~n~3?,b]kevYjmdUdyy~zt^pfcvYf59&hSeo|_lgn[jssx|~T~hi|_c?3(fYoizUfyuQ`uurvpZtbozUj1="l_icp[hsWf|xzPrdep[g;7$jUcm~Q}efafe86+kVbjR|jgbga95*dWakxS}{a<2/gZnf{Vxxxo3?,b]kevYtgo~nonQfnqww[wc`{Vk6,b]nahYsqyo6>!mPmtz\tlvbd`dnS~zngdq>4)eXx{cfSywe<3/gZtbimUoi}zg_`?226>3$jUyiljPddrwlZd:9?938!mPrdcg[roc|aUj15"l_sgb`Zqnl}bTn06#c^pppZvuafxbxtQn=BG/gZtt|Vzyeb|ftx]a9FC+kVxxxRzvpd?P]KE+kVxxxob_`?3(fYu{}xjaRl20-a\wlkXkffge~g`n^akafm:IEF'oR{|e^`qehYedfi`1="l_tqf[gsmV}nm0>#c^wpaZd~|lU|io3?,b]vw`Ycmok~`yQkauc>5)eX}zoTinm20-a\qvcX{flinm20-a\s`gX`nd0?#c^uffZqnl}b6=!mPwskwaZbbx}bTm0?9396/gZqua}oThh~{h^`>535?<%iT{g{e^ol``Yf5^XBXHQIISQW(fYpz`~nS`ake^`>SWOSMVLB^^Z#c^uqmqcX`ndRo28-a\swosmV}bhyfPb<:/gZ~cmchikobim{>wugu|hd=q<74lnpbi)dkcVgnaRijn^t4[6*|u11eknlzimf1?ki?3~oj0=4?>79tad:7611|io2?:1<5?rce494vLM~9bc9CD}4e=3L187?tS034>7312;;o6<=<7`07>71?::qe>8:51:l110<13-8>>7<;d:P543=:<<1>28?6]=1381f=<72898;l<;:35;72=T98?1>o650;3072g5<38<4>o4d3`6>5<628qX=<95244964b=9:9pS:;o1<7?51;61V76?38>:7<>d;3072g5<38<4>>4$31e>7733_8>?74=r:8<1<6s+20g9ed=e:k?1<7:?:`876~N5;m1/>>:52c78^c2=0r?j6865c586f?3>2j?1o;4l7;'607=:1h0(<>>:3`4?!76>38i:6*=2c82?!45i38>;6g=bc83>!45838im6`=1g83?>o5=m0;6)<=0;06g>h59o0;76g=5c83>!45838>o6`=1g82?>o5=h0;6)<=0;06g>h59o0976g=5883>!45838>o6`=1g80?>o5>?0;6)<=0;06g>h59o0?76g=6483>!45838>o6`=1g86?>o5>=0;6)<=0;06g>h59o0=76g=6283>!45838>o6`=1g84?>o5>;0;6)<=0;06g>h59o0376g=6083>!45838>o6`=1g8:?>o5>90;6)<=0;06g>h59o0j76g=5g83>!45838>o6`=1g8a?>o5=l0;6)<=0;06g>h59o0h76g=5983>!45838>o6`=1g8g?>o5100;6)<=0;0:<>h59o0;76g=9683>!45838246`=1g82?>o51?0;6)<=0;0:<>h59o0976g=9483>!45838246`=1g80?>o51=0;6)<=0;0:<>h59o0?76g=9283>!45838246`=1g86?>o51;0;6)<=0;0:<>h59o0=76g=9083>!45838246`=1g84?>o5jm0;6)<=0;0ag>h59o0;76g=6b83>!45838=n6`=1g83?>o5>h0;6)<=0;05f>h59o0:76g=6883>!45838=n6`=1g81?>o5>10;6)<=0;05f>h59o0876g=7483>!45838=n6`=1g87?>o5?=0;6)<=0;05f>h59o0>76g=7283>!45838=n6`=1g85?>o5?;0;6)<=0;05f>h59o0<76g=7083>!45838=n6`=1g8;?>o5?90;6)<=0;05f>h59o0276g=6g83>!45838=n6`=1g8b?>o5>l0;6)<=0;05f>h59o0i76g=6e83>!45838=n6`=1g8`?>o5>>0;6)<=0;05f>h59o0o76g=a383>!45838j=6`=1g83?>o5i90;6)<=0;0b5>h59o0:76g=9g83>!45838j=6`=1g81?>o51l0;6)<=0;0b5>h59o0876g=9e83>!45838j=6`=1g87?>o51j0;6)<=0;0b5>h59o0>76g=9c83>!45838j=6`=1g85?>o51h0;6)<=0;0b5>h59o0<76g=8g83>>o5190;66a=ac83>!45838jm6`=1g83?>i5i00;6)<=0;0be>h59o0:76a=a983>!45838jm6`=1g81?>i5i>0;6)<=0;0be>h59o0876a=a783>!45838jm6`=1g87?>i5i<0;6)<=0;0be>h59o0>76a=a583>!45838jm6`=1g85?>i5i:0;6)<=0;0be>h59o0<76a=b583>!45838i?6`=1g83?>i5j;0;6)<=0;0a7>h59o0:76a=b083>!45838i?6`=1g81?>i5j90;6)<=0;0a7>h59o0876a=ag83>!45838i?6`=1g87?>i5il0;6)<=0;0a7>h59o0>76a=ae83>!45838i?6`=1g85?>i5ij0;6)<=0;0a7>h59o0<76l=5183>4<729q/>>:522g8L72b3A88h6a=1b83>>{e:=l1<7?50;2x 75328i=7E<;e:J17a=h9j?1<75rb31`>5f}2>3?<64759e8:e??b20h1:4498;4b>>0v(??m:3`:?k7613;0b=82d:ml4?;%3af?7em2.:nn4>bd9'5f1=;2.:o54<;%3`=?5<,8ij6>5+1b`97>"6kj087)?ld;18 4eb2:1/=nh52g9'5a6=:k1/=i?52`9'5a4=i2.:h>4if:&2`1<4<2.:h84jc:&2`34;c:&2a1<3l2.:i846;%3f2?713-;n;7=4$0g;>6=#9l31?6*>e`80?!7bj390(5+1g397>"6n;087)?i3;18 4`32:1/=k;53:&2b3<43-;m;7=4$0d;>6=#9o31?6*>f`80?!7aj390(5+21397>"58;087)=;53:&143<43-8;;7=4$32;>6=#:931?6*=0`80?!47j390(?>l:29'65b=;2.944>019'67e=mk1/>?j523d8 7572;1/>>?52:&10g<5

9m525c8m7442900e<>7:188m4df2900e?<9:188m46c2900e?<;:188m7422900e?>51728j77a2910e<;i:18'676=9?:0b??i:098m43b290/>?>51728j77a2;10e<;k:18'676=9?:0b??i:298m723290/>?>52518j77a2910e?:=:18'676=:=90b??i:098m726290/>?>52518j77a2;10e?:?:18'676=:=90b??i:298k4>7290/>?>516d8j77a2910c<9j:18'676=9>l0b??i:098k41d290/>?>516d8j77a2;10c<9m:18'676=9>l0b??i:298k41f290/>?>516d8j77a2=10c<96:18'676=9>l0b??i:498k41?290/>?>516d8j77a2?10c<98:18'676=9>l0b??i:698k411290/>?>516d8j77a2110c<9::18'676=9>l0b??i:898k413290/>?>516d8j77a2h10c<9<:18'676=9>l0b??i:c98k416290/>?>516d8j77a2j10c<9?:18'676=9>l0b??i:e98k40a290/>?>516d8j77a2l10c<8j:18'676=9>l0b??i:g98k40c290/>?>516d8j77a28:07b?9c;29 74728=m7c<>f;32?>i6>k0;6)<=0;34b>h59o0:>65`17c94?"5:90:;k5a20d956=6:9l5=0=83.9>=4>7g9m64`=9>10c<6::18'676=9>l0b??i:0:8?j7?<3:1(?8283>!4583;=h9181<7*=21823c=i:8l1=o54o0:2>5<#:;:1=:h4n33e>4e<3f;5$303>41a3g8:j7?k;:m237<72-89<7?8f:l15c<6m21d=;950;&165<6?o1e>=4k1:l15c<732co<7>5$303>a7odm3:1(?0=h59o0=76gk8;29 7472m;0b??i:698ma1=83.9>=4k1:l15c5$303>a7oc<3:1(?a=h59o0;76gkf;29 7472l:0b??i:098mac=83.9>=4j0:l15c<532coh7>5$303>`654idc94?"5:90n<6`=1g87?>ob13:1(?4n33e>2=h59o0376gj5;29 7472l:0b??i:898m`2=83.9>=4j0:l15c5$303>`6ock3:1(?0b??i:198m434290/>?>51468j77a2810e<;>:18'676=9<>0b??i:398m437290/>?>51468j77a2:10e<:i:18'676=9<>0b??i:598m42b290/>?>51468j77a2<10e<:k:18'676=9<>0b??i:798m42d290/>?>51468j77a2>10e<:m:18'676=9<>0b??i:998m42f290/>?>51468j77a2010e<:6:18'676=9<>0b??i:`98m42?290/>?>51468j77a2k10e<:9:18'676=9<>0b??i:b98m422290/>?>51468j77a2m10e<:;:18'676=9<>0b??i:d98m424290/>?>51468j77a2o10e<:=:18'676=9<>0b??i:028?l7393:1(?4183>!4583;>86`=1g826>=n9:l1<7*=218211=i:8l1=>54i01f>5<#:;:1=8:4n33e>42<3`;8h7>5$303>4333g8:j7?:;:k21f<72-89<7?:4:l15c<6>21b=8l50;&165<6==1e>?>51468j77a28207d?:9;29 74728??7c<>f;3:?>o6=10;6)<=0;360>h59o0:m65f14594?"5:90:995a20d95g=e:9j56e=83.9>=4>559m64`=9o10c?>51`g8j77a2810c?>51`g8j77a2:10e<89:18'676=9??0b??i:198m403290/>?>51778j77a2810e<8<:18'676=9??0b??i:398m405290/>?>51778j77a2:10c?>51c18j77a2810c:18'676=9k90b??i:398k4d7290/>?>51c18j77a2:10c?>51`38j77a2810c<7j:18'676=9h;0b??i:398k4?c290/>?>51`38j77a2:10c<7l:18'676=9h;0b??i:598k4?e290/>?>51`38j77a2<10c<7n:18'676=9h;0b??i:798k4?>290/>?>51`38j77a2>10c<77:18'676=9h;0b??i:998k4?0290/>?>51`38j77a2010c<79:18'676=9h;0b??i:`98k4?2290/>?>51`38j77a2k10c<7<:18'676=9h;0b??i:b98k4?5290/>?>51`38j77a2m10c<7>:18'676=9h;0b??i:d98k4?7290/>?>51`38j77a2o10c<6i:18'676=9h;0b??i:028?j7?m3:1(?8e83>!4583;j=6`=1g826>=h91i1<7*=2182e4=i:8l1=>54o0:a>5<#:;:1=l?4n33e>42<3f;3m7>5$303>4g63g8:j7?:;:m2e<<72-89<7?n1:l15c<6>21d=l650;&165<6i81e>?>51`38j77a28207b?n6;29 74728k:7c<>f;3:?>i6i<0;6)<=0;3b5>h59o0:m65`1`694?"5:90:m<5a20d95g=;o02b?7c32e:594?:%014?7f92d9=k4>e:9l5=?=83.9>=4>a09m64`=9o10e?:6:18'676=:=20b??i:198m720290/>?>525:8j77a2810e?:9:18'676=:=20b??i:398m722290/>?>525:8j77a2:10e<=;:18'676=9:90b??i:198m455290/>?>51218j77a2810e<=?:18'676=9:90b??i:398m44a290/>?>51218j77a2:10e<?>51218j77a2<10e<?>51218j77a2>10e<290/>?>51218j77a2010e<<7:18'676=9:90b??i:`98m440290/>?>51218j77a2k10e<<::18'676=9:90b??i:b98m443290/>?>51218j77a2m10e<<<:18'676=9:90b??i:d98m445290/>?>51218j77a2o10e<<>:18'676=9:90b??i:028?l7583:1(?1g83>!4583;8?6`=1g826>=n98o1<7*=218276=i:8l1=>54i03g>5<#:;:1=>=4n33e>42<3`;:o7>5$303>4543g8:j7?:;:k27g<72-89<7?<3:l15c<6>21b=>o50;&165<6;:1e>290/>?>51218j77a28207d?<8;29 74728987c<>f;3:?>o6;>0;6)<=0;307>h59o0:m65f12494?"5:90:?>5a20d95g=6=4+2329565;4?:%014?74;2d9=k4>e:9j54d=83.9>=4>329m64`=9o10qo<>:52308L72b3A88h6Ti4;ax13<2?33264j59`8:a??e2?31:549a;;`>31=u-8:n75=i9hk1<6*>bc82f`=#9ki1=ok4$0a4>6=#9j21?6*>c880?!7di390(7e<,8n:6?74$0f1>7><,8n86>=4$0f7>4d13-;o97;l;%3g2?013-;o;7h>;%3g;%3gg?7b3-;oh79?;%3ga?5?3-;oj7ol;%3f4?263-;n=7??;%3f6?333-;n?7;=;%3f0?733-;n97?=;%3f2?763-;n;7l8;%3fd3-;n5764$0gb>6=#9lh1?6*>eb80?!7bl390(5+1g197>"6n=087)?i5;18 4`12:1/=k953:&2b=<43-;m57=4$0db>6=#9oh1?6*>fb80?!7al390(5+21197>"58=087)=953:&14=<43-8;57=4$32b>6=#:9h1?6*=0b80?!47l390(?>j:29'65`=:2.9==4=;%025?46i2.9>:4=329'67>=99:0(?<6:023?!45k3oi7)<=d;01b>"5;9097)<<1;08 72e2;>j7)<;c;07e>o5::0;66g>0983>>o6jh0;66g=2783>>o68m0;66g=2583>>o5:<0;66g>b883>>o6>80;6)<=0;354>h59o0;76g>5g83>!4583;=<6`=1g82?>o6=l0;6)<=0;354>h59o0976g>5e83>!4583;=<6`=1g80?>o5<=0;6)<=0;077>h59o0;76g=4383>!45838??6`=1g82?>o5<80;6)<=0;077>h59o0976g=4183>!45838??6`=1g80?>i6090;6)<=0;34b>h59o0;76a>7d83>!4583;i6?j0;6)<=0;34b>h59o0976a>7c83>!4583;i6?h0;6)<=0;34b>h59o0?76a>7883>!4583;i6?10;6)<=0;34b>h59o0=76a>7683>!4583;i6??0;6)<=0;34b>h59o0376a>7483>!4583;i6?=0;6)<=0;34b>h59o0j76a>7283>!4583;i6?80;6)<=0;34b>h59o0h76a>7183>!4583;i6>o0;6)<=0;34b>h59o0n76a>6d83>!4583;i6>m0;6)<=0;34b>h59o0:<65`17a94?"5:90:;k5a20d954=4:9l53>=83.9>=4>7g9m64`=9<10c<68:18'676=9>l0b??i:048?j7?>3:1(?8483>!4583;=h91>1<7*=21823c=i:8l1=454o0:0>5<#:;:1=:h4n33e>4g<3f;3>7>5$303>41a3g8:j7?m;:m2<4<72-89<7?8f:l15c<6k21d=:j50;&165<6?o1e>?>516d8j77a28o07b?97;29 74728=m7c<>f;3e?>oc:3:1(?50;&165;:k`b?6=,;8;6i?4n33e>7=h59o0876gkb;29 7472m;0b??i:598mag=83.9>=4k1:l15c<232co57>5$303>a7oc?3:1(?d=1<7*=218g5>h59o0i76gk3;29 7472m;0b??i:b98mfb=83.9>=4k1:l15c5$303>`6ocm3:1(?4n33e>1=h59o0>76gj8;29 7472l:0b??i:798m`1=83.9>=4j0:l15c<032cn:7>5$303>`6ob<3:1(?4n33e>f=h59o0o76g>5483>!4583;>86`=1g83?>o6=:0;6)<=0;360>h59o0:76g>5083>!4583;>86`=1g81?>o6=90;6)<=0;360>h59o0876g>4g83>!4583;>86`=1g87?>o6h59o0>76g>4e83>!4583;>86`=1g85?>o6h59o0<76g>4c83>!4583;>86`=1g8;?>o6h59o0276g>4883>!4583;>86`=1g8b?>o6<10;6)<=0;360>h59o0i76g>4783>!4583;>86`=1g8`?>o6<<0;6)<=0;360>h59o0o76g>4583>!4583;>86`=1g8f?>o6<:0;6)<=0;360>h59o0m76g>4383>!4583;>86`=1g824>=n9=;1<7*=218211=i:8l1=<54i063>5<#:;:1=8:4n33e>44<3`;8j7>5$303>4333g8:j7?<;:k27`<72-89<7?:4:l15c<6<21b=>j50;&165<6==1e>?>51468j77a28<07d?:b;29 74728??7c<>f;34?>o6=h0;6)<=0;360>h59o0:465f14;94?"5:90:995a20d95<=c:9j504=83.9>=4>559m64`=9m10e<:8:18'676=9<>0b??i:0g8?l74k3:1(?ag83>!4583;ji6`=1g83?>i6im0;6)<=0;3ba>h59o0:76a>ab83>!4583;ji6`=1g81?>i6ik0;6)<=0;3ba>h59o0876g>6783>!4583;=96`=1g83?>o6>=0;6)<=0;351>h59o0:76g>6283>!4583;=96`=1g81?>o6>;0;6)<=0;351>h59o0876a>b583>!4583;i?6`=1g83?>i6j;0;6)<=0;3a7>h59o0:76a>b083>!4583;i?6`=1g81?>i6j90;6)<=0;3a7>h59o0876a>a383>!4583;j=6`=1g83?>i6i90;6)<=0;3b5>h59o0:76a>9d83>!4583;j=6`=1g81?>i61m0;6)<=0;3b5>h59o0876a>9b83>!4583;j=6`=1g87?>i61k0;6)<=0;3b5>h59o0>76a>9`83>!4583;j=6`=1g85?>i6100;6)<=0;3b5>h59o0<76a>9983>!4583;j=6`=1g8;?>i61>0;6)<=0;3b5>h59o0276a>9783>!4583;j=6`=1g8b?>i61<0;6)<=0;3b5>h59o0i76a>9283>!4583;j=6`=1g8`?>i61;0;6)<=0;3b5>h59o0o76a>9083>!4583;j=6`=1g8f?>i6190;6)<=0;3b5>h59o0m76a>8g83>!4583;j=6`=1g824>=h91o1<7*=2182e4=i:8l1=<54o0:g>5<#:;:1=l?4n33e>44<3f;3o7>5$303>4g63g8:j7?<;:m2290/>?>51`38j77a28<07b?n8;29 74728k:7c<>f;34?>i6i>0;6)<=0;3b5>h59o0:465`1`494?"5:90:m<5a20d95<=6=4+23295d7;o02b?7e32e:m>4?:%014?7f92d9=k4>c:9l5<`=83.9>=4>a09m64`=9m10c<7;:18'676=9h;0b??i:0g8?j7?13:1(?!45838?46`=1g83?>o5<>0;6)<=0;07<>h59o0:76g=4783>!45838?46`=1g81?>o5<<0;6)<=0;07<>h59o0876g>3583>!4583;8?6`=1g83?>o6;;0;6)<=0;307>h59o0:76g>3183>!4583;8?6`=1g81?>o6:o0;6)<=0;307>h59o0876g>2d83>!4583;8?6`=1g87?>o6:m0;6)<=0;307>h59o0>76g>2b83>!4583;8?6`=1g85?>o6:k0;6)<=0;307>h59o0<76g>2`83>!4583;8?6`=1g8;?>o6:00;6)<=0;307>h59o0276g>2983>!4583;8?6`=1g8b?>o6:>0;6)<=0;307>h59o0i76g>2483>!4583;8?6`=1g8`?>o6:=0;6)<=0;307>h59o0o76g>2283>!4583;8?6`=1g8f?>o6:;0;6)<=0;307>h59o0m76g>2083>!4583;8?6`=1g824>=n9;:1<7*=218276=i:8l1=<54i03e>5<#:;:1=>=4n33e>44<3`;:i7>5$303>4543g8:j7?<;:k25a<72-89<7?<3:l15c<6<21b=?>51218j77a28<07d?f;34?>o6;00;6)<=0;307>h59o0:465f12:94?"5:90:?>5a20d95<=c:9j567=83.9>=4>329m64`=9m10e<<9:18'676=9:90b??i:0g8?l76j3:1(?N5>j4Zg69g~312<=15446d;;b>281e=4$0`a>4db3-;io7?me:&2g2<43-;h47=4$0a:>6=#9jk1?6*>cc80?!7dk390(c:&2`5<482.:h<4=3:&2`7<5>2.:h>4mc:&2`1<1;2.:h84n6:&2`3<1n2.:h:4l3:&2`=2.:ho44;f:&2a1<2=2.:i84:0:&2a3<6?2.:i:4i6:&2a=<6k91/=h751b18 4cf2:1/=hl53:&2af<43-;nh7=4$0gf>6=#9ll1?6*>f180?!7a9390(5+1g597>"6n1087)?i9;18 4`f2:1/=kl53:&2bf<43-;mh7=4$0df>6=#9ol1?6*=0180?!479390(?>=:29'655=;2.9<94<;%031?5<,;:=6>5+21597>"581087)=l53:&14f<43-8;h7=4$32f>6=#:9l1>6*=1181?!46938:m6*=268176=#:;21==>4$30:>4673-89o7km;%01`?45n2.9?=4=;%005?4<,;>i6?:n;%07g?43i2c9>>4?::k24=<722c:nl4?::k163<722c:84?::k2f<<722c::<4?:%014?7182d9=k4?;:k21c<72-89<7?90:l15c<632c:9h4?:%014?7182d9=k4=;:k21a<72-89<7?90:l15c<432c9894?:%014?43;2d9=k4?;:k107<72-89<7<;3:l15c<632c98<4?:%014?43;2d9=k4=;:k105<72-89<7<;3:l15c<432e:4=4?:%014?70n2d9=k4?;:m23`<72-89<7?8f:l15c<632e:;n4?:%014?70n2d9=k4=;:m23g<72-89<7?8f:l15c<432e:;l4?:%014?70n2d9=k4;;:m23<<72-89<7?8f:l15c<232e:;54?:%014?70n2d9=k49;:m232<72-89<7?8f:l15c<032e:;;4?:%014?70n2d9=k47;:m230<72-89<7?8f:l15c<>32e:;94?:%014?70n2d9=k4n;:m236<72-89<7?8f:l15c0:9l53e=83.9>=4>7g9m64`=9810c<8m:18'676=9>l0b??i:008?j71i3:1(?6883>!4583;=h9?21<7*=21823c=i:8l1=854o0:4>5<#:;:1=:h4n33e>40<3f;3:7>5$303>41a3g8:j7?8;:m2<0<72-89<7?8f:l15c<6021d=5:50;&165<6?o1e>4290/>?>516d8j77a28k07b?72;29 74728=m7c<>f;3a?>i6080;6)<=0;34b>h59o0:o65`16f94?"5:90:;k5a20d95a=7>5$303>a7odn3:1(?1=h59o0>76gk9;29 7472m;0b??i:798ma>=83.9>=4k1:l15c<032co;7>5$303>a7oc=3:1(?f=h59o0o76gj1;29 7472l:0b??i:198ma`=83.9>=4j0:l15c<632coi7>5$303>`6obi3:1(?4n33e>3=h59o0<76gj6;29 7472l:0b??i:998m`3=83.9>=4j0:l15c<>32cn87>5$303>`6ob:3:1(?4?:%014?72<2d9=k4>;:k214<72-89<7?:4:l15c<532c:9=4?:%014?72<2d9=k4<;:k20c<72-89<7?:4:l15c<332c:8h4?:%014?72<2d9=k4:;:k20a<72-89<7?:4:l15c<132c:8n4?:%014?72<2d9=k48;:k20g<72-89<7?:4:l15c4?:%014?72<2d9=k4i;:k207<72-89<7?:4:l15c<6821b=9?50;&165<6==1e>?>51468j77a28807d?f;30?>o6;l0;6)<=0;360>h59o0:865f12f94?"5:90:995a20d950=8:9j50?=83.9>=4>559m64`=9010e<;7:18'676=9<>0b??i:0c8?l72?3:1(?5783>!4583;>86`=1g82g>=n9<81<7*=218211=i:8l1=i54i064>5<#:;:1=8:4n33e>4c<3`;8o7>5$303>4333g8:j7?i;:m2ec<72-89<7?ne:l15c<732e:mi4?:%014?7fm2d9=k4>;:m2ef<72-89<7?ne:l15c<532e:mo4?:%014?7fm2d9=k4<;:k223<72-89<7?95:l15c<732c::94?:%014?71=2d9=k4>;:k226<72-89<7?95:l15c<532c::?4?:%014?71=2d9=k4<;:m2f1<72-89<7?m3:l15c<732e:n?4?:%014?7e;2d9=k4>;:m2f4<72-89<7?m3:l15c<532e:n=4?:%014?7e;2d9=k4<;:m2e7<72-89<7?n1:l15c<732e:m=4?:%014?7f92d9=k4>;:m2=`<72-89<7?n1:l15c<532e:5i4?:%014?7f92d9=k4<;:m2=f<72-89<7?n1:l15c<332e:5o4?:%014?7f92d9=k4:;:m2=d<72-89<7?n1:l15c<132e:544?:%014?7f92d9=k48;:m2==<72-89<7?n1:l15cc290/>?>51`38j77a28807b?7c;29 74728k:7c<>f;30?>i60k0;6)<=0;3b5>h59o0:865`19c94?"5:90:m<5a20d950=;o02b?7032e:m:4?:%014?7f92d9=k4>8:9l5d0=83.9>=4>a09m64`=9010ca283>!4583;j=6`=1g82g>=h90l1<7*=2182e4=i:8l1=i54o0;7>5<#:;:1=l?4n33e>4c<3f;357>5$303>4g63g8:j7?i;:k10<<72-89<7<;8:l15c<732c98:4?:%014?4302d9=k4>;:k103<72-89<7<;8:l15c<532c9884?:%014?4302d9=k4<;:k271<72-89<7?<3:l15c<732c:??4?:%014?74;2d9=k4>;:k275<72-89<7?<3:l15c<532c:>k4?:%014?74;2d9=k4<;:k26`<72-89<7?<3:l15c<332c:>i4?:%014?74;2d9=k4:;:k26f<72-89<7?<3:l15c<132c:>o4?:%014?74;2d9=k48;:k26d<72-89<7?<3:l15c44?:%014?74;2d9=k46;:k26=<72-89<7?<3:l15c:4?:%014?74;2d9=k4m;:k260<72-89<7?<3:l15c94?:%014?74;2d9=k4k;:k266<72-89<7?<3:l15c?4?:%014?74;2d9=k4i;:k264<72-89<7?<3:l15c<6821b=?>50;&165<6;:1e>?>51218j77a28807d?>e;29 74728987c<>f;30?>o69m0;6)<=0;307>h59o0:865f10a94?"5:90:?>5a20d950=8:9j56>=83.9>=4>329m64`=9010e<=8:18'676=9:90b??i:0c8?l74>3:1(?3483>!4583;8?6`=1g82g>=n9:;1<7*=218276=i:8l1=i54i005>5<#:;:1=>=4n33e>4c<3`;:n7>5$303>4543g8:j7?i;:a66?=83hh6=4?{%000?45:2B98h5G22f8^c2=kr?=6895988:`??f20o15o499;4;>3g=1j0=;7s+20`96g?h6010;7c?na;28 4de28hn7)?mc;3aa>"6k>087)?l8;18 4e>2:1/=no53:&2gg<43-;ho7=4$0ag>6=#9jo1?6*>cg8`5>"6l90:n6*>d08:1>"6l;0h>6*>d28`e>"6l=0:n:5+1e795g3<,8n=6nm4$0f4>`c<,8n36ok4$0f:>4da3-;om7?6;%3gf?133-;oo7?k;%3g`?>73-;oi7?i;%3gb?033-;n<7;>;%3f5?g43-;n>7:9;%3f7?2>3-;n87:n;%3f1?2e3-;n:7m6;%3f3?`03-;n47?l1:&2a<<6k=1/=ho53:&2ag<43-;no7=4$0gg>6=#9lo1?6*>eg80?!7a8390(:29'5c4=;2.:j>4<;%3e0?5<,8l>6>5+1g497>"6n>087)?i8;18 4`>2:1/=ko53:&2bg<43-;mo7=4$0dg>6=#9oo1?6*>fg80?!478390(?>>:29'654=;2.9<>4<;%030?5<,;:>6>5+21497>"58>087)2:1/>=o53:&14g<43-8;o7=4$32g>6=#:9o1?6*=0g81?!468380(??>:33b?!45?388?6*=298245=#:;31==>4$30`>`d<,;8o6?h6?:n;h017?6=3`;;47>5;h3ae?6=3`89:7>5;h33`?6=3`8987>5;h011?6=3`;i57>5;h355?6=,;8;6<8?;o02b?6<3`;>j7>5$303>4073g8:j7?4;h36a?6=,;8;6<8?;o02b?4<3`;>h7>5$303>4073g8:j7=4;h070?6=,;8;6?:<;o02b?6<3`8?>7>5$303>7243g8:j7?4;h075?6=,;8;6?:<;o02b?4<3`8?<7>5$303>7243g8:j7=4;n3;4?6=,;8;6<9i;o02b?6<3f;5$303>41a3g8:j7?4;n34g?6=,;8;6<9i;o02b?4<3f;5$303>41a3g8:j7=4;n34e?6=,;8;6<9i;o02b?2<3f;<57>5$303>41a3g8:j7;4;n345$303>41a3g8:j794;n342?6=,;8;6<9i;o02b?><3f;<97>5$303>41a3g8:j774;n340?6=,;8;6<9i;o02b?g<3f;5$303>41a3g8:j7l4;n345?6=,;8;6<9i;o02b?e<3f;<<7>5$303>41a3g8:j7j4;n35b?6=,;8;6<9i;o02b?c<3f;=i7>5$303>41a3g8:j7h4;n35`?6=,;8;6<9i;o02b?7732e::n4?:%014?70n2d9=k4>1:9l53d=83.9>=4>7g9m64`=9;10c<8n:18'676=9>l0b??i:018?j7113:1(?6983>!4583;=h91=1<7*=21823c=i:8l1=;54o0:5>5<#:;:1=:h4n33e>41<3f;397>5$303>41a3g8:j7?7;:m2<1<72-89<7?8f:l15c<6121d=5=50;&165<6?o1e>5290/>?>516d8j77a28h07b?71;29 74728=m7c<>f;3`?>i6?m0;6)<=0;34b>h59o0:h65`16094?"5:90:;k5a20d95`=5<#:;:1h<5a20d94>=nl90;6)<=0;f2?k46n3;07dmi:18'676=l81e>;o02b?5<3`ni6=4+2329`4=i:8l1865fd`83>!4583n:7c<>f;78?lb>290/>?>5d09m64`=>21bh54?:%014?b63g8:j794;hf4>5<#:;:1h<5a20d9<>=nl?0;6)<=0;f2?k46n3307dj::18'676=l81e>;o02b?d<3`n86=4+2329`4=i:8l1o65fce83>!4583n:7c<>f;f8?lc6290/>?>5e19m64`=821bhk4?:%014?c73g8:j7?4;hff>5<#:;:1i=5a20d96>=nlm0;6)<=0;g3?k46n3907dkn:18'676=m91e>!4583o;7c<>f;58?lc1290/>?>5e19m64`=021bi84?:%014?c73g8:j774;hg7>5<#:;:1i=5a20d9e>=nm:0;6)<=0;g3?k46n3h07dk=:18'676=m91e>97>5$303>4333g8:j7>4;h367?6=,;8;6<;;;o02b?7<3`;>=7>5$303>4333g8:j7<4;h364?6=,;8;6<;;;o02b?5<3`;?j7>5$303>4333g8:j7:4;h37a?6=,;8;6<;;;o02b?3<3`;?h7>5$303>4333g8:j784;h37g?6=,;8;6<;;;o02b?1<3`;?n7>5$303>4333g8:j764;h37e?6=,;8;6<;;;o02b??<3`;?57>5$303>4333g8:j7o4;h375$303>4333g8:j7m4;h371?6=,;8;6<;;;o02b?b<3`;?87>5$303>4333g8:j7k4;h377?6=,;8;6<;;;o02b?`<3`;?>7>5$303>4333g8:j7??;:k204<72-89<7?:4:l15c<6921b=9>50;&165<6==1e>?>51468j77a28907d?f;37?>o6;m0;6)<=0;360>h59o0:965f14a94?"5:90:995a20d953=9:9j50>=83.9>=4>559m64`=9h10e<;8:18'676=9<>0b??i:0`8?l72>3:1(?5383>!4583;>86`=1g82`>=n9==1<7*=218211=i:8l1=h54i01`>5<#:;:1=8:4n33e>4`<3f;jj7>5$303>4gb3g8:j7>4;n3b`?6=,;8;65$303>4gb3g8:j7<4;n3bf?6=,;8;65$303>4023g8:j7>4;h350?6=,;8;6<8:;o02b?7<3`;=?7>5$303>4023g8:j7<4;h356?6=,;8;6<8:;o02b?5<3f;i87>5$303>4d43g8:j7>4;n3a6?6=,;8;65$303>4d43g8:j7<4;n3a4?6=,;8;67>5$303>4g63g8:j7>4;n3b4?6=,;8;6;o02b?7<3f;2i7>5$303>4g63g8:j7<4;n3:`?6=,;8;6;o02b?5<3f;2o7>5$303>4g63g8:j7:4;n3:f?6=,;8;6;o02b?3<3f;2m7>5$303>4g63g8:j784;n3:=?6=,;8;6;o02b?1<3f;247>5$303>4g63g8:j764;n3:3?6=,;8;6;o02b??<3f;2:7>5$303>4g63g8:j7o4;n3:1?6=,;8;6;o02b?d<3f;2?7>5$303>4g63g8:j7m4;n3:6?6=,;8;6;o02b?b<3f;2=7>5$303>4g63g8:j7k4;n3:4?6=,;8;6;o02b?`<3f;3j7>5$303>4g63g8:j7??;:m2<`<72-89<7?n1:l15c<6921d=5j50;&165<6i81e>d290/>?>51`38j77a28907b?7b;29 74728k:7c<>f;37?>i60h0;6)<=0;3b5>h59o0:965`1`;94?"5:90:m<5a20d953=;o02b?7?32e:m;4?:%014?7f92d9=k4>9:9l5d3=83.9>=4>a09m64`=9h10c9g83>!4583;j=6`=1g82`>=h90>1<7*=2182e4=i:8l1=h54o0::>5<#:;:1=l?4n33e>4`<3`8?57>5$303>72?3g8:j7>4;h073?6=,;8;6?:7;o02b?7<3`8?:7>5$303>72?3g8:j7<4;h071?6=,;8;6?:7;o02b?5<3`;887>5$303>4543g8:j7>4;h306?6=,;8;6<=<;o02b?7<3`;8<7>5$303>4543g8:j7<4;h31b?6=,;8;6<=<;o02b?5<3`;9i7>5$303>4543g8:j7:4;h31`?6=,;8;6<=<;o02b?3<3`;9o7>5$303>4543g8:j784;h31f?6=,;8;6<=<;o02b?1<3`;9m7>5$303>4543g8:j764;h31=?6=,;8;6<=<;o02b??<3`;947>5$303>4543g8:j7o4;h313?6=,;8;6<=<;o02b?d<3`;997>5$303>4543g8:j7m4;h310?6=,;8;6<=<;o02b?b<3`;9?7>5$303>4543g8:j7k4;h316?6=,;8;6<=<;o02b?`<3`;9=7>5$303>4543g8:j7??;:k265<72-89<7?<3:l15c<6921b=?>51218j77a28907d?>d;29 74728987c<>f;37?>o69j0;6)<=0;307>h59o0:965f12`94?"5:90:?>5a20d953=9:9j561=83.9>=4>329m64`=9h10e<=9:18'676=9:90b??i:0`8?l74=3:1(?3083>!4583;8?6`=1g82`>=n9;<1<7*=218276=i:8l1=h54i03a>5<#:;:1=>=4n33e>4`<3th9?54?:ca94?6|,;9?6?<=;I07a>N5;m1Qj94l{44912<>133o64o59d8:f?0>2?21:l46c;44>x"59k09n45a10;95>h69h0:7c?78;28j4gf291/=ol51cg8 4dd28hn7)?l7;18 4e?2:1/=n753:&2gd<43-;hn7=4$0a`>6=#9jn1?6*>cd80?!7dn33:7)?k0;da?!7c938>7)?k2;04?!7c;3k<7)?k4;aa?!7c=3?m7)?k6;cf?!7c?3337)?k8;ce?!7c139:7)?ka;51?!7cj39o7)?kc;50?!7cl38o7)?ke;`2?!7cn3287)?j0;c2?!7b93>97)?j2;;e?!7b;3k97)?j4;c3?!7b=3k?7)?j6;d:?!7b?3l87)?j8;d1?!7b13;h>6*>e`80?!7bj390(5+1g397>"6n;087)?i3;18 4`32:1/=k;53:&2b3<43-;m;7=4$0d;>6=#9o31?6*>f`80?!7aj390(5+21397>"58;087)=;53:&143<43-8;;7=4$32;>6=#:931?6*=0`80?!47j390(?>l:29'65b=;2.944>019'67e=mk1/>?j523d8 7572;1/>>?52:&10g<5

9m525c8m7442900e<>7:188m4df2900e?<9:188m46c2900e?<;:188m7422900e?>51728j77a2910e<;i:18'676=9?:0b??i:098m43b290/>?>51728j77a2;10e<;k:18'676=9?:0b??i:298m723290/>?>52518j77a2910e?:=:18'676=:=90b??i:098m726290/>?>52518j77a2;10e?:?:18'676=:=90b??i:298k4>7290/>?>516d8j77a2910c<9j:18'676=9>l0b??i:098k41d290/>?>516d8j77a2;10c<9m:18'676=9>l0b??i:298k41f290/>?>516d8j77a2=10c<96:18'676=9>l0b??i:498k41?290/>?>516d8j77a2?10c<98:18'676=9>l0b??i:698k411290/>?>516d8j77a2110c<9::18'676=9>l0b??i:898k413290/>?>516d8j77a2h10c<9<:18'676=9>l0b??i:c98k416290/>?>516d8j77a2j10c<9?:18'676=9>l0b??i:e98k40a290/>?>516d8j77a2l10c<8j:18'676=9>l0b??i:g98k40c290/>?>516d8j77a28:07b?9c;29 74728=m7c<>f;32?>i6>k0;6)<=0;34b>h59o0:>65`17c94?"5:90:;k5a20d956=6:9l5=0=83.9>=4>7g9m64`=9>10c<6::18'676=9>l0b??i:0:8?j7?<3:1(?8283>!4583;=h9181<7*=21823c=i:8l1=o54o0:2>5<#:;:1=:h4n33e>4e<3f;5$303>41a3g8:j7?k;:m237<72-89<7?8f:l15c<6m21d=;950;&165<6?o1e>=4k1:l15c<732co<7>5$303>a7odm3:1(?0=h59o0=76gk8;29 7472m;0b??i:698ma1=83.9>=4k1:l15c5$303>a7oc<3:1(?a=h59o0;76gkf;29 7472l:0b??i:098mac=83.9>=4j0:l15c<532coh7>5$303>`654idc94?"5:90n<6`=1g87?>ob13:1(?4n33e>2=h59o0376gj5;29 7472l:0b??i:898m`2=83.9>=4j0:l15c5$303>`6ock3:1(?0b??i:198m434290/>?>51468j77a2810e<;>:18'676=9<>0b??i:398m437290/>?>51468j77a2:10e<:i:18'676=9<>0b??i:598m42b290/>?>51468j77a2<10e<:k:18'676=9<>0b??i:798m42d290/>?>51468j77a2>10e<:m:18'676=9<>0b??i:998m42f290/>?>51468j77a2010e<:6:18'676=9<>0b??i:`98m42?290/>?>51468j77a2k10e<:9:18'676=9<>0b??i:b98m422290/>?>51468j77a2m10e<:;:18'676=9<>0b??i:d98m424290/>?>51468j77a2o10e<:=:18'676=9<>0b??i:028?l7393:1(?4183>!4583;>86`=1g826>=n9:l1<7*=218211=i:8l1=>54i01f>5<#:;:1=8:4n33e>42<3`;8h7>5$303>4333g8:j7?:;:k21f<72-89<7?:4:l15c<6>21b=8l50;&165<6==1e>?>51468j77a28207d?:9;29 74728??7c<>f;3:?>o6=10;6)<=0;360>h59o0:m65f14594?"5:90:995a20d95g=e:9j56e=83.9>=4>559m64`=9o10c?>51`g8j77a2810c?>51`g8j77a2:10e<89:18'676=9??0b??i:198m403290/>?>51778j77a2810e<8<:18'676=9??0b??i:398m405290/>?>51778j77a2:10c?>51c18j77a2810c:18'676=9k90b??i:398k4d7290/>?>51c18j77a2:10c?>51`38j77a2810c<7j:18'676=9h;0b??i:398k4?c290/>?>51`38j77a2:10c<7l:18'676=9h;0b??i:598k4?e290/>?>51`38j77a2<10c<7n:18'676=9h;0b??i:798k4?>290/>?>51`38j77a2>10c<77:18'676=9h;0b??i:998k4?0290/>?>51`38j77a2010c<79:18'676=9h;0b??i:`98k4?2290/>?>51`38j77a2k10c<7<:18'676=9h;0b??i:b98k4?5290/>?>51`38j77a2m10c<7>:18'676=9h;0b??i:d98k4?7290/>?>51`38j77a2o10c<6i:18'676=9h;0b??i:028?j7?m3:1(?8e83>!4583;j=6`=1g826>=h91i1<7*=2182e4=i:8l1=>54o0:a>5<#:;:1=l?4n33e>42<3f;3m7>5$303>4g63g8:j7?:;:m2e<<72-89<7?n1:l15c<6>21d=l650;&165<6i81e>?>51`38j77a28207b?n6;29 74728k:7c<>f;3:?>i6i<0;6)<=0;3b5>h59o0:m65`1`694?"5:90:m<5a20d95g=;o02b?7c32e:594?:%014?7f92d9=k4>e:9l5=?=83.9>=4>a09m64`=9o10e?:6:18'676=:=20b??i:198m720290/>?>525:8j77a2810e?:9:18'676=:=20b??i:398m722290/>?>525:8j77a2:10e<=;:18'676=9:90b??i:198m455290/>?>51218j77a2810e<=?:18'676=9:90b??i:398m44a290/>?>51218j77a2:10e<?>51218j77a2<10e<?>51218j77a2>10e<290/>?>51218j77a2010e<<7:18'676=9:90b??i:`98m440290/>?>51218j77a2k10e<<::18'676=9:90b??i:b98m443290/>?>51218j77a2m10e<<<:18'676=9:90b??i:d98m445290/>?>51218j77a2o10e<<>:18'676=9:90b??i:028?l7583:1(?1g83>!4583;8?6`=1g826>=n98o1<7*=218276=i:8l1=>54i03g>5<#:;:1=>=4n33e>42<3`;:o7>5$303>4543g8:j7?:;:k27g<72-89<7?<3:l15c<6>21b=>o50;&165<6;:1e>290/>?>51218j77a28207d?<8;29 74728987c<>f;3:?>o6;>0;6)<=0;307>h59o0:m65f12494?"5:90:?>5a20d95g=6=4+2329565;4?:%014?74;2d9=k4>e:9j54d=83.9>=4>329m64`=9o10qo<<7;29ff<729q/>>:52308L72b3A88h6Ti4;ax13<2?33264j59`8:a??e2?31:549a;;`>31=u-8:n75=i9hk1<6*>bc82f`=#9ki1=ok4$0a4>6=#9j21?6*>c880?!7di390(f6<,8n:6n5+1e09=3=#9m91jn5+1e691`=#9m?1=o64$0f5>3b<,8n<6o;4$0f;>=b<,8n26:74$0fb>6d<,8ni6:64$0f`>74<,8no6:k4$0ff>76<,8nm65:4$0g3>`=#9l;1885+1d090==#9l918>5+1d6901=#9l?1n55+1d49f<=#9l=1?n5+1d:91>"6m0087)?ja;18 4ce2:1/=hm53:&2aa<43-;ni7=4$0ge>6=#9o:1?6*>f080?!7a:390(5+1g:97>"6n0087)?ia;18 4`e2:1/=km53:&2ba<43-;mi7=4$0de>6=#:9:1?6*=0080?!47:390(?><:29'652=;2.9<84<;%032?5<,;:<6>5+21:97>"580087)=m53:&14a<43-8;i7=4$32e>7=#:8:1>6*=10815d=#:;=1>>=4$30;>4673-8957??0:&16fi4=2g9'666=:2.9?<4=;%07f?43i2.98n4=4`9j675=831b==650;9j5gg=831b>?850;9j55b=831b>?:50;9j673=831b=o750;9j537=83.9>=4>619m64`=821b=8h50;&165<6>91e>=4>619m64`=:21b=8j50;&165<6>91e>=4=429m64`=821b>9<50;&165<5<:1e>=4=429m64`=:21b>9>50;&165<5<:1e>=4>7g9m64`=821d=:k50;&165<6?o1e>=4>7g9m64`=:21d=:l50;&165<6?o1e>=4>7g9m64`=<21d=:750;&165<6?o1e>=83.9>=4>7g9m64`=>21d=:950;&165<6?o1e>=4>7g9m64`=021d=:;50;&165<6?o1e>=4>7g9m64`=i21d=:=50;&165<6?o1e>=4>7g9m64`=k21d=:>50;&165<6?o1e>=4>7g9m64`=m21d=;k50;&165<6?o1e>=4>7g9m64`=9910c<8l:18'676=9>l0b??i:038?j71j3:1(?6`83>!4583;=h9?31<7*=21823c=i:8l1=954o04;>5<#:;:1=:h4n33e>43<3f;3;7>5$303>41a3g8:j7?9;:m2<3<72-89<7?8f:l15c<6?21d=5;50;&165<6?o1e>3290/>?>516d8j77a28307b?73;29 74728=m7c<>f;3b?>i60;0;6)<=0;34b>h59o0:n65`19394?"5:90:;k5a20d95f=f:9j`7<72-89<7j>;o02b?6<3`n;6=4+2329`4=i:8l1=65fcg83>!4583n:7c<>f;08?leb290/>?>5d09m64`=;21bho4?:%014?b63g8:j7:4;hfb>5<#:;:1h<5a20d91>=nl00;6)<=0;f2?k46n3<07dj7:18'676=l81e>;o02b?><3`n=6=4+2329`4=i:8l1565fd483>!4583n:7c<>f;c8?lb3290/>?>5d09m64`=j21bh>4?:%014?b63g8:j7m4;hag>5<#:;:1h<5a20d9`>=nm80;6)<=0;g3?k46n3:07dji:18'676=m91e>!4583o;7c<>f;68?lc>290/>?>5e19m64`==21bi54?:%014?c73g8:j784;hg4>5<#:;:1i=5a20d93>=nm?0;6)<=0;g3?k46n3207dk::18'676=m91e>!4583o;7c<>f;a8?lbd290/>?>5e19m64`=l21b=8;50;&165<6==1e>=4>559m64`=921b=8?50;&165<6==1e>=4>559m64`=;21b=9h50;&165<6==1e>=4>559m64`==21b=9j50;&165<6==1e>=4>559m64`=?21b=9l50;&165<6==1e>=4>559m64`=121b=9750;&165<6==1e>=83.9>=4>559m64`=j21b=9850;&165<6==1e>=4>559m64`=l21b=9:50;&165<6==1e>=4>559m64`=n21b=9<50;&165<6==1e>?>51468j77a28;07d?;0;29 74728??7c<>f;31?>o6;o0;6)<=0;360>h59o0:?65f12g94?"5:90:995a20d951=7:9j50g=83.9>=4>559m64`=9110e<;6:18'676=9<>0b??i:0;8?l7203:1(?5683>!4583;>86`=1g82f>=n9<<1<7*=218211=i:8l1=n54i071>5<#:;:1=8:4n33e>4b<3`;?;7>5$303>4333g8:j7?j;:k27f<72-89<7?:4:l15c<6n21d=lh50;&165<6il1e>=4>ad9m64`=921d=lm50;&165<6il1e>=4>ad9m64`=;21b=;850;&165<6><1e>=4>649m64`=921b=;=50;&165<6><1e>=4>649m64`=;21d=o:50;&165<6j:1e>=4>b29m64`=921d=o?50;&165<6j:1e>=4>b29m64`=;21d=l<50;&165<6i81e>=4>a09m64`=921d=4k50;&165<6i81e>=4>a09m64`=;21d=4m50;&165<6i81e>=4>a09m64`==21d=4o50;&165<6i81e>=4>a09m64`=?21d=4650;&165<6i81e>=4>a09m64`=121d=4850;&165<6i81e>=4>a09m64`=j21d=4=50;&165<6i81e>=4>a09m64`=l21d=4?50;&165<6i81e>=4>a09m64`=n21d=5h50;&165<6i81e>b290/>?>51`38j77a28;07b?7d;29 74728k:7c<>f;31?>i60j0;6)<=0;3b5>h59o0:?65`19`94?"5:90:m<5a20d951=;o02b?7132e:m54?:%014?7f92d9=k4>7:9l5d1=83.9>=4>a09m64`=9110ca583>!4583;j=6`=1g82f>=h9h91<7*=2182e4=i:8l1=n54o0;e>5<#:;:1=l?4n33e>4b<3f;287>5$303>4g63g8:j7?j;:m2<<<72-89<7?n1:l15c<6n21b>9750;&165<5<11e>=4=499m64`=921b>9850;&165<5<11e>=4=499m64`=;21b=>:50;&165<6;:1e>=4>329m64`=921b=>>50;&165<6;:1e>=4>329m64`=;21b=?k50;&165<6;:1e>=4>329m64`==21b=?m50;&165<6;:1e>=4>329m64`=?21b=?o50;&165<6;:1e>=4>329m64`=121b=?650;&165<6;:1e>=4>329m64`=j21b=?;50;&165<6;:1e>=4>329m64`=l21b=?=50;&165<6;:1e>=4>329m64`=n21b=??50;&165<6;:1e>?>51218j77a28;07d?>f;29 74728987c<>f;31?>o69l0;6)<=0;307>h59o0:?65f10f94?"5:90:?>5a20d951=7:9j56?=83.9>=4>329m64`=9110e<=7:18'676=9:90b??i:0;8?l74?3:1(?3783>!4583;8?6`=1g82f>=n9:?1<7*=218276=i:8l1=n54i012>5<#:;:1=>=4n33e>4b<3`;9:7>5$303>4543g8:j7?j;:k25g<72-89<7?<3:l15c<6n21vn?=9:18ag?6=8r.9?94=239K61c<@;9o7Wh;:by62?3020315i46a;;f>00=478n:8a922h6ih0;7)?mb;3aa>"6jj0:nh5+1b597>"6k1087)?l9;18 4ef2:1/=nl53:&2gf<43-;hh7=4$0af>6=#9jl15>5+1e29bd=#9m;15=5+1e09ba=#9m91>95+1e69f>"6l<0jh6*>d782fa=#9m=1m55+1e:9<4=#9m31=55+1ec9<7=#9mh1>h5+1ea9f1=#9mn15:5+1eg9f3=#9ml1485+1d29`>"6m803n6*>e38;=>"6m:0?;6*>e58`<>"6m<0:=55+1d49b`=#9l=1?n5+1d:9b>"6m00?7)?ja;18 4ce2:1/=hm53:&2aa<43-;ni7=4$0ge>6=#9o:1?6*>f080?!7a:390(5+1g:97>"6n0087)?ia;18 4`e2:1/=km53:&2ba<43-;mi7=4$0de>6=#:9:1?6*=0080?!47:390(?><:29'652=;2.9<84<;%032?5<,;:<6>5+21:97>"580087)=m53:&14a<43-8;i7=4$32e>7=#:8:1>6*=10815d=#:;=1>>=4$30;>4673-8957??0:&16fi4=2g9'666=:2.9?<4=;%07f?43i2.98n4=4`9j675=831b==650;9j5gg=831b>?850;9j55b=831b>?:50;9j673=831b=o750;9j537=83.9>=4>619m64`=821b=8h50;&165<6>91e>=4>619m64`=:21b=8j50;&165<6>91e>=4=429m64`=821b>9<50;&165<5<:1e>=4=429m64`=:21b>9>50;&165<5<:1e>=4>7g9m64`=821d=:k50;&165<6?o1e>=4>7g9m64`=:21d=:l50;&165<6?o1e>=4>7g9m64`=<21d=:750;&165<6?o1e>=83.9>=4>7g9m64`=>21d=:950;&165<6?o1e>=4>7g9m64`=021d=:;50;&165<6?o1e>=4>7g9m64`=i21d=:=50;&165<6?o1e>=4>7g9m64`=k21d=:>50;&165<6?o1e>=4>7g9m64`=m21d=;k50;&165<6?o1e>=4>7g9m64`=9910c<8l:18'676=9>l0b??i:038?j71j3:1(?6`83>!4583;=h9?31<7*=21823c=i:8l1=954o04;>5<#:;:1=:h4n33e>43<3f;3;7>5$303>41a3g8:j7?9;:m2<3<72-89<7?8f:l15c<6?21d=5;50;&165<6?o1e>3290/>?>516d8j77a28307b?73;29 74728=m7c<>f;3b?>i60;0;6)<=0;34b>h59o0:n65`19394?"5:90:;k5a20d95f=f:9j`7<72-89<7j>;o02b?6<3`n;6=4+2329`4=i:8l1=65fcg83>!4583n:7c<>f;08?leb290/>?>5d09m64`=;21bho4?:%014?b63g8:j7:4;hfb>5<#:;:1h<5a20d91>=nl00;6)<=0;f2?k46n3<07dj7:18'676=l81e>;o02b?><3`n=6=4+2329`4=i:8l1565fd483>!4583n:7c<>f;c8?lb3290/>?>5d09m64`=j21bh>4?:%014?b63g8:j7m4;hag>5<#:;:1h<5a20d9`>=nm80;6)<=0;g3?k46n3:07dji:18'676=m91e>!4583o;7c<>f;68?lc>290/>?>5e19m64`==21bi54?:%014?c73g8:j784;hg4>5<#:;:1i=5a20d93>=nm?0;6)<=0;g3?k46n3207dk::18'676=m91e>!4583o;7c<>f;a8?lbd290/>?>5e19m64`=l21b=8;50;&165<6==1e>=4>559m64`=921b=8?50;&165<6==1e>=4>559m64`=;21b=9h50;&165<6==1e>=4>559m64`==21b=9j50;&165<6==1e>=4>559m64`=?21b=9l50;&165<6==1e>=4>559m64`=121b=9750;&165<6==1e>=83.9>=4>559m64`=j21b=9850;&165<6==1e>=4>559m64`=l21b=9:50;&165<6==1e>=4>559m64`=n21b=9<50;&165<6==1e>?>51468j77a28;07d?;0;29 74728??7c<>f;31?>o6;o0;6)<=0;360>h59o0:?65f12g94?"5:90:995a20d951=7:9j50g=83.9>=4>559m64`=9110e<;6:18'676=9<>0b??i:0;8?l7203:1(?5683>!4583;>86`=1g82f>=n9<<1<7*=218211=i:8l1=n54i071>5<#:;:1=8:4n33e>4b<3`;?;7>5$303>4333g8:j7?j;:k27f<72-89<7?:4:l15c<6n21d=lh50;&165<6il1e>=4>ad9m64`=921d=lm50;&165<6il1e>=4>ad9m64`=;21b=;850;&165<6><1e>=4>649m64`=921b=;=50;&165<6><1e>=4>649m64`=;21d=o:50;&165<6j:1e>=4>b29m64`=921d=o?50;&165<6j:1e>=4>b29m64`=;21d=l<50;&165<6i81e>=4>a09m64`=921d=4k50;&165<6i81e>=4>a09m64`=;21d=4m50;&165<6i81e>=4>a09m64`==21d=4o50;&165<6i81e>=4>a09m64`=?21d=4650;&165<6i81e>=4>a09m64`=121d=4850;&165<6i81e>=4>a09m64`=j21d=4=50;&165<6i81e>=4>a09m64`=l21d=4?50;&165<6i81e>=4>a09m64`=n21d=5h50;&165<6i81e>b290/>?>51`38j77a28;07b?7d;29 74728k:7c<>f;31?>i60j0;6)<=0;3b5>h59o0:?65`19`94?"5:90:m<5a20d951=;o02b?7132e:m54?:%014?7f92d9=k4>7:9l5d1=83.9>=4>a09m64`=9110ca583>!4583;j=6`=1g82f>=h9h91<7*=2182e4=i:8l1=n54o0;e>5<#:;:1=l?4n33e>4b<3f;287>5$303>4g63g8:j7?j;:m2<<<72-89<7?n1:l15c<6n21b>9750;&165<5<11e>=4=499m64`=921b>9850;&165<5<11e>=4=499m64`=;21b=>:50;&165<6;:1e>=4>329m64`=921b=>>50;&165<6;:1e>=4>329m64`=;21b=?k50;&165<6;:1e>=4>329m64`==21b=?m50;&165<6;:1e>=4>329m64`=?21b=?o50;&165<6;:1e>=4>329m64`=121b=?650;&165<6;:1e>=4>329m64`=j21b=?;50;&165<6;:1e>=4>329m64`=l21b=?=50;&165<6;:1e>=4>329m64`=n21b=??50;&165<6;:1e>?>51218j77a28;07d?>f;29 74728987c<>f;31?>o69l0;6)<=0;307>h59o0:?65f10f94?"5:90:?>5a20d951=7:9j56?=83.9>=4>329m64`=9110e<=7:18'676=9:90b??i:0;8?l74?3:1(?3783>!4583;8?6`=1g82f>=n9:?1<7*=218276=i:8l1=n54i012>5<#:;:1=>=4n33e>4b<3`;9:7>5$303>4543g8:j7?j;:k25g<72-89<7?<3:l15c<6n21vn?=::18ag?6=8r.9?94=239K61c<@;9o7Wh;:by62?3020315i46a;;f>00=478n:8a922h6ih0;7)?mb;3aa>"6jj0:nh5+1b597>"6k1087)?l9;18 4ef2:1/=nl53:&2gf<43-;hh7=4$0af>6=#9jl1:=5+1e295+1eg9e<=#9ml1n=5+1d2977=#9l;1;6*>e38af>"6m:0m46*>e58e1>"6m<0ih6*>e78ae>"6m>03:6*>e98;3>"6m00=7)?ja;18 4ce2:1/=hm53:&2aa<43-;ni7=4$0ge>6=#9o:1?6*>f080?!7a:390(5+1g:97>"6n0087)?ia;18 4`e2:1/=km53:&2ba<43-;mi7=4$0de>6=#:9:1?6*=0080?!47:390(?><:29'652=;2.9<84<;%032?5<,;:<6>5+21:97>"580087)=m53:&14a<43-8;i7=4$32e>7=#:8:1>6*=10815d=#:;=1>>=4$30;>4673-8957??0:&16fi4=2g9'666=:2.9?<4=;%07f?43i2.98n4=4`9j675=831b==650;9j5gg=831b>?850;9j55b=831b>?:50;9j673=831b=o750;9j537=83.9>=4>619m64`=821b=8h50;&165<6>91e>=4>619m64`=:21b=8j50;&165<6>91e>=4=429m64`=821b>9<50;&165<5<:1e>=4=429m64`=:21b>9>50;&165<5<:1e>=4>7g9m64`=821d=:k50;&165<6?o1e>=4>7g9m64`=:21d=:l50;&165<6?o1e>=4>7g9m64`=<21d=:750;&165<6?o1e>=83.9>=4>7g9m64`=>21d=:950;&165<6?o1e>=4>7g9m64`=021d=:;50;&165<6?o1e>=4>7g9m64`=i21d=:=50;&165<6?o1e>=4>7g9m64`=k21d=:>50;&165<6?o1e>=4>7g9m64`=m21d=;k50;&165<6?o1e>=4>7g9m64`=9910c<8l:18'676=9>l0b??i:038?j71j3:1(?6`83>!4583;=h9?31<7*=21823c=i:8l1=954o04;>5<#:;:1=:h4n33e>43<3f;3;7>5$303>41a3g8:j7?9;:m2<3<72-89<7?8f:l15c<6?21d=5;50;&165<6?o1e>3290/>?>516d8j77a28307b?73;29 74728=m7c<>f;3b?>i60;0;6)<=0;34b>h59o0:n65`19394?"5:90:;k5a20d95f=f:9j`7<72-89<7j>;o02b?6<3`n;6=4+2329`4=i:8l1=65fcg83>!4583n:7c<>f;08?leb290/>?>5d09m64`=;21bho4?:%014?b63g8:j7:4;hfb>5<#:;:1h<5a20d91>=nl00;6)<=0;f2?k46n3<07dj7:18'676=l81e>;o02b?><3`n=6=4+2329`4=i:8l1565fd483>!4583n:7c<>f;c8?lb3290/>?>5d09m64`=j21bh>4?:%014?b63g8:j7m4;hag>5<#:;:1h<5a20d9`>=nm80;6)<=0;g3?k46n3:07dji:18'676=m91e>!4583o;7c<>f;68?lc>290/>?>5e19m64`==21bi54?:%014?c73g8:j784;hg4>5<#:;:1i=5a20d93>=nm?0;6)<=0;g3?k46n3207dk::18'676=m91e>!4583o;7c<>f;a8?lbd290/>?>5e19m64`=l21b=8;50;&165<6==1e>=4>559m64`=921b=8?50;&165<6==1e>=4>559m64`=;21b=9h50;&165<6==1e>=4>559m64`==21b=9j50;&165<6==1e>=4>559m64`=?21b=9l50;&165<6==1e>=4>559m64`=121b=9750;&165<6==1e>=83.9>=4>559m64`=j21b=9850;&165<6==1e>=4>559m64`=l21b=9:50;&165<6==1e>=4>559m64`=n21b=9<50;&165<6==1e>?>51468j77a28;07d?;0;29 74728??7c<>f;31?>o6;o0;6)<=0;360>h59o0:?65f12g94?"5:90:995a20d951=7:9j50g=83.9>=4>559m64`=9110e<;6:18'676=9<>0b??i:0;8?l7203:1(?5683>!4583;>86`=1g82f>=n9<<1<7*=218211=i:8l1=n54i071>5<#:;:1=8:4n33e>4b<3`;?;7>5$303>4333g8:j7?j;:k27f<72-89<7?:4:l15c<6n21d=lh50;&165<6il1e>=4>ad9m64`=921d=lm50;&165<6il1e>=4>ad9m64`=;21b=;850;&165<6><1e>=4>649m64`=921b=;=50;&165<6><1e>=4>649m64`=;21d=o:50;&165<6j:1e>=4>b29m64`=921d=o?50;&165<6j:1e>=4>b29m64`=;21d=l<50;&165<6i81e>=4>a09m64`=921d=4k50;&165<6i81e>=4>a09m64`=;21d=4m50;&165<6i81e>=4>a09m64`==21d=4o50;&165<6i81e>=4>a09m64`=?21d=4650;&165<6i81e>=4>a09m64`=121d=4850;&165<6i81e>=4>a09m64`=j21d=4=50;&165<6i81e>=4>a09m64`=l21d=4?50;&165<6i81e>=4>a09m64`=n21d=5h50;&165<6i81e>b290/>?>51`38j77a28;07b?7d;29 74728k:7c<>f;31?>i60j0;6)<=0;3b5>h59o0:?65`19`94?"5:90:m<5a20d951=;o02b?7132e:m54?:%014?7f92d9=k4>7:9l5d1=83.9>=4>a09m64`=9110ca583>!4583;j=6`=1g82f>=h9h91<7*=2182e4=i:8l1=n54o0;e>5<#:;:1=l?4n33e>4b<3f;287>5$303>4g63g8:j7?j;:m2<<<72-89<7?n1:l15c<6n21b>9750;&165<5<11e>=4=499m64`=921b>9850;&165<5<11e>=4=499m64`=;21b=>:50;&165<6;:1e>=4>329m64`=921b=>>50;&165<6;:1e>=4>329m64`=;21b=?k50;&165<6;:1e>=4>329m64`==21b=?m50;&165<6;:1e>=4>329m64`=?21b=?o50;&165<6;:1e>=4>329m64`=121b=?650;&165<6;:1e>=4>329m64`=j21b=?;50;&165<6;:1e>=4>329m64`=l21b=?=50;&165<6;:1e>=4>329m64`=n21b=??50;&165<6;:1e>?>51218j77a28;07d?>f;29 74728987c<>f;31?>o69l0;6)<=0;307>h59o0:?65f10f94?"5:90:?>5a20d951=7:9j56?=83.9>=4>329m64`=9110e<=7:18'676=9:90b??i:0;8?l74?3:1(?3783>!4583;8?6`=1g82f>=n9:?1<7*=218276=i:8l1=n54i012>5<#:;:1=>=4n33e>4b<3`;9:7>5$303>4543g8:j7?j;:k25g<72-89<7?<3:l15c<6n21v??8:1825~;5=909=n5222795g?<5;9>6b`9>661=9k301?=8:0`b?84403;i563=3982fd=:::31=o74=31:>4df3488m7?m9:?17d<6jh16>>l51c;8975e28hj70<;5;j0:nl5rs33;>5<5=8q6>9h51b789752289?70<<5;306>;5;<0:?=52227957`<5;9>6<2b9>663=9;h01?=::00b?844=3;9563=34826==:::?1=?94=316>442348897?=4:?170<6::16>>;513089752288:70<<5;314>;5;<0:=k52227954c<5;9>63c9>663=9:k01?=::01:?844=3;8463=348272=:::?1=>84=316>452348897?<1:?170<6:?16>>;51478975228?870<<5;365>;5;<0:9=52227951`<5;9>6<:j;<001?73l279?84>4b9>663=9=h01?=::06b?844=3;?563=34820==:::?1=984=316>422348897?;4:?170<6<:16>>;51508975228>:70<<5;374>;5;<0:?k52227956c<5;9>6<=k;<001?72k279?84>5c9>663=9463=348212=:::?1=884=316>435348897?;7:?170<6>816>>;514d8975228?n70<<5;36`>;5;<0::;522279532<5;9>6<8<;<001?71:279?84=229>663=:;>01?=::306?844=389:63=378271=:::<1=><4=315>4573488:7?=f:?173<6:l16>>8513f89751288h70<<6;31f>;5;?0:>l52224957?<5;9=6<<7;<002?75?279?;4>249>660=9;>01?=9:000?844>3;9>63=378264=:::<1=?>4=315>47a3488:7?>e:?173<69m16>>8510a89751289i70<<6;30e>;5;?0:?452224956><5;9=6<=8;<002?74>279?;4>349>660=9:;01?=9:005?844>3;>963=378216=:::<1=8?4=315>4373488:7?;f:?173<6>8515f8975128>h70<<6;37f>;5;?0:8l52224951?<5;9=6<:7;<002?73>279?;4>449>660=9=>01?=9:060?844>3;?>63=378204=:::<1=9>4=315>45a3488:7?>8514a8975128?i70<<6;36e>;5;?0:9452224950><5;9=6<;8;<002?72>279?;4>539>660=9==01?=9:042?844>3;>j63=37821`=:::<1=8j4=315>4013488:7?94:?173<6>:16>>85170897512;8870<<6;010>;5;?09>8522249670<5;9<6<=;;<003?74:279?:4>319>661=9;l01?=8:00f?844?3;9h63=36826f=:::=1=?l4=314>44f3488;7?=9:?172<6:116>>9513589750288>70<<7;310>;5;>0:>>522259574<5;9<6<<>;<003?758279?:4>1g9>661=98o01?=8:03g?844?3;:o63=36827g=:::=1=>o4=314>45>3488;7?<8:?172<6;>16>>9512489750289>70<<7;305>;5;>0:>;522259503<5;9<6<;<;<003?729279?:4>519>661=9=l01?=8:06f?844?3;?h63=36820f=:::=1=9l4=314>42f3488;7?;9:?172<6<116>>951548975028>>70<<7;370>;5;>0:8>522259514<5;9<6<:>;<003?738279?:4>3g9>661=9:o01?=8:01g?844?3;>o63=36821g=:::=1=8o4=314>43>3488;7?:8:?172<6=>16>>951448975028?970<<7;373>;5;>0::<52225950`<5;9<6<;j;<003?72l279?:4>679>661=9?>01?=8:040?844?3;=>63=368166=:::=1>?:4=314>7423488;7<=6:?17=<6;=16>>651208975?289;70<<8;31b>;5;10:>h5222:957b<5;936<2`9>66>=9;301?=7:00;?84403;9;63=398260=:::21=?:4=31;>444348847?=2:?17=<6:816>>651328975?28;m70<<8;32a>;5;10:=i5222:954e<5;936<=m;<00389>66>=9:201?=7:014?84403;8:63=398270=:::21=>?4=31;>441348847?:5:?17=<6=:16>>651438975?28?;70<<8;37b>;5;10:8h5222:951b<5;936<:l;<004`9>66>=9=301?=7:06;?84403;?:63=398200=:::21=9:4=31;>424348847?;2:?17=<6<816>>651528975?289m70<<8;30a>;5;10:?i5222:950e<5;936<;m;<00589>66>=9<201?=7:074?84403;>:63=398217=:::21=994=31;>406348847?:f:?17=<6=l16>>6514f8975?28<=70<<8;350>;5;10::>5222:9534<5;936?<<;<0066>=:;<01?=6:017?84413;8>63=388275=:::31=?h4=31:>44b348857?=d:?17<<6:j16>>7513`8975>288j70<<9;31=>;5;00:>55222;9571<5;926<<:;<00=?75<279?44>229>66?=9;801?=6:002?84413;9<63=38825c=:::31=47c348857?>c:?17<<6;k16>>7512c8975>289270<<9;30<>;5;00:?:5222;9560<5;926<=:;<00=?749279?44>279>66?=9=63=388215=:::31=9h4=31:>42b348857?;d:?17<<6>7515`8975>28>j70<<9;37=>;5;00:855222;9510<5;926<::;<00=?73<279?44>429>66?=9=801?=6:062?84413;?<63=38827c=:::31=>k4=31:>45c348857?:c:?17<<6=k16>>7514c8975>28?270<<9;36<>;5;00:9:5222;9500<5;926<;=;<00=?73?279?44>609>66?=9h63=388223=:::31=;:4=31:>404348857?92:?17<<5::16>>752368975>2;8>70<<9;012>;5;h0:?95222c9564<5;9j6<=?;<00e?75n279?l4>2d9>66g=9;n01?=n:00`?844i3;9n63=3`826d=:::k1=?74=31b>44?3488m7?=7:?17d<6:<16>>o51368975f288870<;5;h0:><5222c9576<5;9j61e9>66g=98i01?=n:01a?844i3;8m63=3`827<=:::k1=>64=31b>4503488m7?<6:?17d<6;<16>>o51238975f288=70<;5;h0:9>5222c9507<5;9j6<;?;<00e?73n279?l4>4d9>66g=9=n01?=n:06`?844i3;?n63=3`820d=:::k1=974=31b>42?3488m7?;6:?17d<6<<16>>o51568975f28>870<;5;h0:8<5222c9516<5;9j6<=i;<00e?74m279?l4>3e9>66g=9m63=3`821<=:::k1=864=31b>4303488m7?:6:?17d<6=;16>>o51558975f28<:70<;5;h0:9h5222c950b<5;9j6<89;<00e?71<279?l4>629>66g=9?801?=n:300?844i389863=3`8160=:::k1>?84=31a>4533488n7?<2:?17g<6;916>>l513d8975e288n70<;5;k0:>n5222`957d<5;9i6<299>66d=9;=01?=m:006?844j3;9863=3c8266=:::h1=?<4=31a>4463488n7?=0:?17g<69o16>>l510g8975e28;o70<;5;k0:?o5222`956g<5;9i6<=6;<00f?740279?o4>369>66d=9:<01?=m:016?844j3;8=63=3c8263=:::h1=8;4=31a>4343488n7?:1:?17g<6=916>>l515d8975e28>n70<;5;k0:8n5222`951d<5;9i6<:n;<00f?731279?o4>499>66d=9=<01?=m:066?844j3;?863=3c8206=:::h1=9<4=31a>4263488n7?;0:?17g<6;o16>>l512g8975e289o70<;5;k0:9o5222`950g<5;9i6<;6;<00f?720279?o4>569>66d=9<<01?=m:071?844j3;?;63=3c8224=:::h1=8h4=31a>43b3488n7?:d:?17g<6>?16>>l51768975e28<870<;5;k09>>5222`9672<5;9i6?<:;<00f?45>279?n4>359>66e=9:801?=l:013?844k3;9j63=3b826`=:::i1=?j4=31`>44d3488o7?=b:?17f<6:h16>>m513;8975d288370<;5;j0:>85222a9572<5;9h6<<<;<00g?75:279?n4>209>66e=9;:01?=l:03e?844k3;:i63=3b825a=:::i1=45e3488o7?>m512:8975d289<70<;5;j0:?85222a9567<5;9h6<<9;<00g?72=279?n4>529>66e=9<;01?=l:073?844k3;?j63=3b820`=:::i1=9j4=31`>42d3488o7?;b:?17f<6>m515;8975d28>370<;5;j0:885222a9512<5;9h6<:<;<00g?73:279?n4>409>66e=9=:01?=l:01e?844k3;8i63=3b827a=:::i1=8m4=31`>43e3488o7?:a:?17f<6=016>>m514:8975d28?<70<;5;j0:9?5222a9511<5;9h6<8>;<00g?72n279?n4>5d9>66e=97443488o7<=4:?17f<5:<16>>m52348yv4fk3:1>vP=ab9>663=9130q~>8519;8yv4fm3:1>vP=ad9>661=9130q~>6519;8yv4e83:1>vP=b19>66?=9130q~>o519;8yv4e:3:1>vP=b39>66d=9130q~>m519;8yv4f;3:1>vP=a29>663=9?=0q~>851758yv4f=3:1>vP=a49>661=9?=0q~>651758yv4f?3:1>vP=a69>66?=9?=0q~>o51758yv4f13:1>vP=a89>66d=9?=0q~>m51758yv4>83:15vP=919>663=99n01?=9:02g?844?3;;h63=39824a=:::31==j4=31b>46c3488n7??d:?17f<68m1v?6i:18:[4?n279?84>099>660=99201?=8:02;?84403;;463=38824==:::k1==64=31a>46?3488o7??8:p64l50;0xZ7?e3488:7?4j50;0xZ7?c348847?4h50;0xZ7?a3488m7?l<50;0xZ7g53488o7?;j4=316>`4<5;9=6h<4=314>`4<5;936h<4=31:>`4<5;9j6h<4=31a>`4<5;9h6h<4}r05a?6=1rT9:h522279a6=:::<1i>522259a6=:::21i>5222;9a6=:::k1i>5222`9a6=:::i1i>5rs34e>5<>sW8=j63=348f0>;5;?0n863=368f0>;5;10n863=388f0>;5;h0n863=3c8f0>;5;j0n86s|26294??|V;=;70<<5;g6?844>3o>70<<7;g6?84403o>70<<9;g6?844i3o>70<7p}=7083><}Y:>;01?=::d4897512l<01?=8:d48975?2l<01?=6:d48975f2l<01?=m:d48975d2l<0q~<82;29=~X5?;16>>;5e69>660=m>16>>95e69>66>=m>16>>75e69>66g=m>16>>l5e69>66e=m>1v?9<:18:[40;279?84j8:?173::50;;xZ713348897k6;<002?c>3488;7k6;<00348857k6;<00e?c>3488n7k6;<00g?c>3ty9;84?:8y]623<5;9>6ho4=315>`g<5;9<6ho4=31;>`g<5;926ho4=31b>`g<5;9i6ho4=31`>`g59z\12==:::?1hi522249`a=:::=1hi5222:9`a=:::31hi5222c9`a=:::h1hi5222a9`a=z{;<26=46{_05=>;5;<0oi63=378ga>;5;>0oi63=398ga>;5;00oi63=3`8ga>;5;k0oi63=3b8ga>{t:?k1<77t^34b?844=3nm70<<6;fe?844?3nm70<<8;fe?84413nm70<j0;64uQ27a897522l;01?=9:d3897502l;01?=7:d38975>2l;01?=n:d38975e2l;01?=l:d38yv4el3:1>270<<5;073>;5;<098;522279613<5;9=6?:6;<002?43?279?;4=479>660=:=?01?=8:36:?844?38?;63=368103=:::=1>9;4=31;>72>348847<;7:?17=<5>652578975>2;>270<<9;073>;5;0098;5222;9613<5;9j6?:6;<00e?43?279?l4=479>66g=:=?01?=m:36:?844j38?;63=3c8103=:::h1>9;4=31`>72>3488o7<;7:?17f<5>m52578yv4>93:1>vP=909>663=98h0q~<62;296~X51;16>>8510`8yv4>;3:1>vP=929>661=98h0q~<64;296~X51=16>>6510`8yv4>=3:1>vP=949>66?=98h0q~<66;296~X51?16>>o510`8yv4>?3:1>vP=969>66d=98h0q~<69;296~X51016>>m510`8yv4203:15vP=599>663=km16>>85ce9>661=km16>>65ce9>66?=km16>>o5ce9>66d=km16>>m5ce9~w73b2902wS<:e:?170;>4=316>a3<5;9=6i;4=314>a3<5;936i;4=31:>a3<5;9j6i;4=31a>a3<5;9h6i;4}r055?6=1rT9:<522279`3=:::<1h;522259`3=:::21h;5222;9`3=:::k1h;5222`9`3=:::i1h;5rs341>5<>sW8=>63=348g3>;5;?0o;63=368g3>;5;10o;63=388g3>;5;h0o;63=3c8g3>;5;j0o;6s|27194??|V;<870<<5;f;?844>3n370<<7;f;?84403n370<<9;f;?844i3n370<<}Y:?>01?=::e;897512m301?=8:e;8975?2m301?=6:e;8975f2m301?=m:e;8975d2m30q~<95;29=~X5><16>>;5d`9>660=lh16>>95d`9>66>=lh16>>75d`9>66g=lh16>>l5d`9>66e=lh1v?89:18:[41>279?84kb:?1738750;;xZ73>348897mj;<002?eb3488;7mj;<006nh4=315>f`<5;9<6nh4=31;>f`<5;926nh4=31b>f`<5;9i6nh4=31`>f`n7>59z\11g=:::?1h=522249`5=:::=1h=5222:9`5=:::31h=5222c9`5=:::h1h=5222a9`5=z{;?o6=46{_06`>;5;<0o>63=378g6>;5;>0o>63=398g6>;5;00o>63=3`8g6>;5;k0o>63=3b8g6>{t:kh1<7<>{_0af>;5;<0989522279614<5;9>6?:>;<001?438279?;4=459>660=:=801?=9:362?844>38?<63=368101=:::=1>9<4=314>7263488;7<;0:?17=<5<=16>>652508975?2;>:70<<8;074>;5;009895222;9614<5;926?:>;<00=?438279?l4=459>66g=:=801?=n:362?844i38?<63=3c8101=:::h1>9<4=31a>7263488n7<;0:?17f<5<=16>>m52508975d2;>:70<{zf?9<6=4>{I00`>{i>:21<7?tH31g?xh1;00;6>j4}o40a?6=9rB9?i5rn71e>5<6sA88h6sa65294?7|@;9o7p`94083>4}O::n0qc8;2;295~N5;m1vb;:<:182M44l2we:9:50;3xL75c3td=884?:0yK66b51zJ17a=zf?><6=4>{I00`>{i>=21<7?tH31g?xh1<00;6>j4}o47a?6=9rB9?i5rn76e>5<6sA88h6sa64294?7|@;9o7p`95083>4}O::n0qc8:2;295~N5;m1vb;;<:182M44l2we:8:50;3xL75c3td=984?:0yK66b:7>51zJ17a=zf??<6=4>{I00`>{i><21<7?tH31g?xh1=00;6>j4}o46a?6=9rB9?i5rn77e>5<6sA88h6sa67294?7|@;9o7p`96083>4}O::n0qc892;295~N5;m1vb;8<:182M44l2we:;:50;3xL75c3td=:84?:0yK66b51zJ17a=zf?<<6=4>{I00`>{i>?21<7?tH31g?xh1>00;6>j4}o45a?6=9rB9?i5rn74e>5<6sA88h6sa66294?7|@;9o7p`97083>4}O::n0qc882;295~N5;m1vb;9<:182M44l2we:::50;3xL75c3td=;84?:0yK66b51zJ17a=zf?=<6=4>{I00`>{i>>21<7?tH31g?x{zuIJHw;lm:644bd4bltJKNv>r@ARxyEF \ No newline at end of file diff --git a/cores/KypSpectrum/vga.vhd b/cores/KypSpectrum/vga.vhd index e347908..cb38ef2 100644 --- a/cores/KypSpectrum/vga.vhd +++ b/cores/KypSpectrum/vga.vhd @@ -15,6 +15,8 @@ entity vga is port ( clock25 : in std_logic; + va : out std_logic_vector(12 downto 0); + vd : in std_logic_vector( 7 downto 0); hs : out std_logic; vs : out std_logic; rgb : out std_logic_vector(11 downto 0) @@ -23,12 +25,23 @@ end; architecture behavioral of vga is - signal x : std_logic_vector(9 downto 0); - signal y : std_logic_vector(9 downto 0); + signal x : std_logic_vector( 9 downto 0); + signal y : std_logic_vector( 9 downto 0); + signal f : std_logic_vector( 5 downto 0); + signal xy : std_logic_vector(17 downto 0); + signal bmap : std_logic_vector( 7 downto 0); + signal attr : std_logic_vector( 7 downto 0); + + type tpalette is array (0 to 15) of std_logic_vector(11 downto 0); + constant palette : tpalette := ( x"000", x"007", x"700", x"707", x"070", x"077", x"770", x"777", x"000", x"00f", x"f00", x"f0f", x"0f0", x"0ff", x"ff0", x"fff" ); begin process(clock25) + variable bpre : std_logic_vector(7 downto 0); + variable apre : std_logic_vector(7 downto 0); + variable i, p : std_logic_vector(2 downto 0); + variable b, c : integer; begin if rising_edge(clock25) then if x < 799 then x <= x+1; @@ -37,14 +50,40 @@ begin if y < 524 then y <= y+1; else y <= (others => '0'); + f <= f+1; end if; end if; if x >= 640+16 and x < 640+16+96 then hs <= '0'; else hs <= '1'; end if; if y >= 480+10 and y < 480+10+ 2 then vs <= '0'; else vs <= '1'; end if; - if x < 640 and y < 480 then - rgb <= x"777"; + if x >= 64 and x < 64+512 and y >= 48 and y < 48+384 then + if x = 64+512-16 and y = 48+383 then xy <= (others => '0'); else xy <= xy+1; end if; + + if xy(3 downto 0) = "0000" then va <= xy(17 downto 16)&xy(12 downto 10)&xy(15 downto 13)&xy(8 downto 4); end if; + if xy(3 downto 0) = "1000" then va <= "110"&xy(17 downto 13)&xy( 8 downto 4); end if; + if xy(3 downto 0) = "0010" then bpre := vd; end if; + if xy(3 downto 0) = "1010" then apre := vd; end if; + if xy(3 downto 0) = "1110" then bmap <= bpre; attr <= apre; end if; + + b := 7-to_integer(unsigned(x(3 downto 1))); + i := attr(2 downto 0); + p := attr(5 downto 3); + + if attr(7) = '1' then + if f(5) = '1' then + if bmap(b) = '0' then c := to_integer(unsigned(i)); else c := to_integer(unsigned(p)); end if; + else + if bmap(b) = '0' then c := to_integer(unsigned(p)); else c := to_integer(unsigned(i)); end if; + end if; + else + if bmap(b) = '1' then c := to_integer(unsigned(i)); else c := to_integer(unsigned(p)); end if; + end if; + + if attr(6) = '1' then c := c+8; end if; + rgb <= palette(c); + elsif x < 640 and y < 480 then + rgb <= x"700"; else rgb <= x"000"; end if; diff --git a/cores/KypSpectrum/zxpp.vhd b/cores/KypSpectrum/zxpp.vhd index 3c2cb34..cf76183 100644 --- a/cores/KypSpectrum/zxpp.vhd +++ b/cores/KypSpectrum/zxpp.vhd @@ -24,18 +24,38 @@ architecture structural of zxpp is ); end component; + component loram + port + ( + clka : in std_logic; + wea : in std_logic_vector( 0 downto 0); + addra : in std_logic_vector(13 downto 0); + dina : in std_logic_vector( 7 downto 0); + douta : out std_logic_vector( 7 downto 0); + clkb : in std_logic; + web : in std_logic_vector( 0 downto 0); + addrb : in std_logic_vector(13 downto 0); + dinb : in std_logic_vector( 7 downto 0); + doutb : out std_logic_vector( 7 downto 0) + ); + end component; + component vga is port ( clock25 : in std_logic; + va : out std_logic_vector(12 downto 0); + vd : in std_logic_vector( 7 downto 0); hs : out std_logic; vs : out std_logic; rgb : out std_logic_vector(11 downto 0) ); end component; - signal clock25 : std_logic; - signal clock14 : std_logic; + signal clock25 : std_logic; + signal clock14 : std_logic; + signal va : std_logic_vector(12 downto 0); + signal vd : std_logic_vector( 7 downto 0); begin @@ -45,9 +65,25 @@ begin clock25 => clock25, clock14 => clock14 ); + Uloram: loram port map + ( + clka => '0', + wea(0) => '0', + addra => (others => '0'), + dina => (others => '0'), + douta => open, + clkb => clock25, + web(0) => '0', + addrb(13) => '0', + addrb(12 downto 0) => va, + dinb => (others => '0'), + doutb => vd + ); Uvga: vga port map ( clock25 => clock25, + va => va, + vd => vd, hs => netHS, vs => netVS, rgb(11 downto 8) => netR,