Añado cambios

This commit is contained in:
antoniovillena 2018-06-05 17:14:30 +02:00
parent 9aa999d8ae
commit d985865a76
25 changed files with 13650 additions and 15917 deletions

View File

@ -89,3 +89,14 @@ NET "P_tr" LOC="P8" | IOSTANDARD = LVCMOS33 | PULLUP;
# Otros # Otros
NET dVGA_R[2] LOC="P51" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET dVGA_R[1] LOC="P50" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET dVGA_R[0] LOC="P47" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET dVGA_G[2] LOC="P40" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET dVGA_G[1] LOC="P35" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET dVGA_G[0] LOC="P33" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET dVGA_B[2] LOC="P23" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET dVGA_B[1] LOC="P17" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET dVGA_B[0] LOC="P24" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET dVGA_HS LOC="P57" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET dVGA_VS LOC="P58" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;

View File

@ -43,11 +43,18 @@ entity ZXUNO_A2601 is
LED : out std_logic; LED : out std_logic;
-- Video -- Video
VGA_R : out std_logic_vector(2 downto 0); VGA_R : inout std_logic_vector(2 downto 0);
VGA_G : out std_logic_vector(2 downto 0); VGA_G : inout std_logic_vector(2 downto 0);
VGA_B : out std_logic_vector(2 downto 0); VGA_B : inout std_logic_vector(2 downto 0);
VGA_HS : out std_logic; VGA_HS : inout std_logic;
VGA_VS : out std_logic; VGA_VS : inout std_logic;
dVGA_R : out std_logic_vector(2 downto 0);
dVGA_G : out std_logic_vector(2 downto 0);
dVGA_B : out std_logic_vector(2 downto 0);
dVGA_HS : out std_logic;
dVGA_VS : out std_logic;
NTSC : out std_logic; NTSC : out std_logic;
PAL : out std_logic; PAL : out std_logic;
@ -143,6 +150,11 @@ architecture rtl of ZXUNO_A2601 is
begin begin
dVGA_R <= VGA_R;
dVGA_G <= VGA_G;
dVGA_B <= VGA_B;
dVGA_VS <= VGA_VS;
dVGA_HS <= VGA_HS;
ps2k_dat_in<=PS2_DAT; ps2k_dat_in<=PS2_DAT;
PS2_DAT <= '0' when ps2k_dat_out='0' else 'Z'; PS2_DAT <= '0' when ps2k_dat_out='0' else 'Z';
ps2k_clk_in<=PS2_CLK; ps2k_clk_in<=PS2_CLK;

View File

@ -54,11 +54,16 @@ entity bbc_micro is
port (clk50 : in std_logic; port (clk50 : in std_logic;
ps2_clk : in std_logic; ps2_clk : in std_logic;
ps2_data : in std_logic; ps2_data : in std_logic;
red : out std_logic_vector (2 downto 0); red : inout std_logic_vector (2 downto 0);
green : out std_logic_vector (2 downto 0); green : inout std_logic_vector (2 downto 0);
blue : out std_logic_vector (2 downto 0); blue : inout std_logic_vector (2 downto 0);
vsync : out std_logic; vsync : inout std_logic;
hsync : out std_logic; hsync : inout std_logic;
dred : out std_logic_vector (2 downto 0);
dgreen : out std_logic_vector (2 downto 0);
dblue : out std_logic_vector (2 downto 0);
dvsync : out std_logic;
dhsync : out std_logic;
audioL : out std_logic; audioL : out std_logic;
audioR : out std_logic; audioR : out std_logic;
RAMWRn : out std_logic; RAMWRn : out std_logic;
@ -294,6 +299,13 @@ signal ram_we_n: std_logic;
signal ram_a: std_logic_vector(18 downto 0); signal ram_a: std_logic_vector(18 downto 0);
begin begin
dred <= red;
dgreen <= green;
dblue <= blue;
dvsync <= vsync;
dhsync <= hsync;
------------------------- -------------------------
-- COMPONENT INSTANCES -- COMPONENT INSTANCES
------------------------- -------------------------

View File

@ -88,3 +88,15 @@ NET SDMISO LOC="P78" | IOSTANDARD = LVCMOS33;
# Otros # Otros
PIN "relojes_bbc/clkout1_buf.O" CLOCK_DEDICATED_ROUTE = FALSE; PIN "relojes_bbc/clkout1_buf.O" CLOCK_DEDICATED_ROUTE = FALSE;
NET dred(2) LOC="P51" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET dred(1) LOC="P50" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET dred(0) LOC="P47" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET dgreen(2) LOC="P40" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET dgreen(1) LOC="P35" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET dgreen(0) LOC="P33" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET dblue(2) LOC="P23" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET dblue(1) LOC="P17" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET dblue(0) LOC="P24" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET dhsync LOC="P57" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET dvsync LOC="P58" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;

View File

@ -26,11 +26,16 @@ module jupiter_ace (
input wire ear, input wire ear,
output wire audio_out_left, output wire audio_out_left,
output wire audio_out_right, output wire audio_out_right,
output wire [2:0] r, inout wire [2:0] r,
output wire [2:0] g, inout wire [2:0] g,
output wire [2:0] b, inout wire [2:0] b,
output wire hsync, inout wire hsync,
output wire vsync, inout wire vsync,
output wire [2:0] dr,
output wire [2:0] dg,
output wire [2:0] db,
output wire dhsync,
output wire dvsync,
output wire stdn, output wire stdn,
output wire stdnb, output wire stdnb,
///// SRAM pins (just to get the current video output setting) //////////// ///// SRAM pins (just to get the current video output setting) ////////////
@ -56,6 +61,12 @@ module jupiter_ace (
wire [2:0] gi = {video,video,1'b0}; wire [2:0] gi = {video,video,1'b0};
wire [2:0] bi = {video,video,1'b0}; wire [2:0] bi = {video,video,1'b0};
assign dr = r;
assign dg = g;
assign db = b;
assign dhsync = hsync;
assign dvsync = vsync;
// Trivial conversion for audio // Trivial conversion for audio
wire mic,spk; wire mic,spk;
assign audio_out_left = spk; assign audio_out_left = spk;

View File

@ -86,6 +86,17 @@ NET "sram_we_n" LOC="P121" | IOSTANDARD = LVCMOS33;
#NET "joyfire3" LOC="P39" | IOSTANDARD = LVCMOS33 | PULLUP; #NET "joyfire3" LOC="P39" | IOSTANDARD = LVCMOS33 | PULLUP;
NET dr<2> LOC="P51" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET dr<1> LOC="P50" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET dr<0> LOC="P47" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET dg<2> LOC="P40" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET dg<1> LOC="P35" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET dg<0> LOC="P33" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET db<2> LOC="P23" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET db<1> LOC="P17" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET db<0> LOC="P24" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET dhsync LOC="P57" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET dvsync LOC="P58" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;

View File

@ -27,6 +27,12 @@ entity sms is
hsync: buffer STD_LOGIC; hsync: buffer STD_LOGIC;
vsync: buffer STD_LOGIC; vsync: buffer STD_LOGIC;
dred: out STD_LOGIC_VECTOR(2 downto 0);
dgreen: out STD_LOGIC_VECTOR(2 downto 0);
dblue: out STD_LOGIC_VECTOR(2 downto 0);
dhsync: out STD_LOGIC;
dvsync: out STD_LOGIC;
spi_do: in STD_LOGIC; spi_do: in STD_LOGIC;
spi_sclk: out STD_LOGIC; spi_sclk: out STD_LOGIC;
spi_di: out STD_LOGIC; spi_di: out STD_LOGIC;
@ -38,10 +44,8 @@ entity sms is
ps2_data: in std_logic; ps2_data: in std_logic;
NTSC: out std_logic; --Q NTSC: out std_logic; --Q
PAL: out std_logic; --Q PAL: out std_logic --Q
hdmi_out_p: out std_logic_vector(3 downto 0);
hdmi_out_n: out std_logic_vector(3 downto 0)
); );
end sms; end sms;
@ -119,19 +123,6 @@ architecture Behavioral of sms is
); );
end component; end component;
COMPONENT MinimalDVID_encoder
PORT(
clk: IN std_logic;
blank: IN std_logic;
hsync: IN std_logic;
vsync: IN std_logic;
red: IN std_logic_vector(2 downto 0);
green: IN std_logic_vector(2 downto 0);
blue: IN std_logic_vector(2 downto 0);
hdmi_p: OUT std_logic_vector(3 downto 0);
hdmi_n: OUT std_logic_vector(3 downto 0)
);
END COMPONENT;
signal clk_cpu: std_logic; signal clk_cpu: std_logic;
signal clk16: std_logic; signal clk16: std_logic;
@ -270,6 +261,13 @@ begin
spi_cs_n => spi_cs_n spi_cs_n => spi_cs_n
); );
dred <= red;
dgreen <= green;
dblue <= blue;
dhsync <= hsync;
dvsync <= vsync;
led <= not spi_cs_n; --Q led <= not spi_cs_n; --Q
-- led <= scandoubler_ctrl(0); --debug scandblctrl reg. -- led <= scandoubler_ctrl(0); --debug scandblctrl reg.
@ -317,19 +315,4 @@ begin
scanSW <= scandoubler_ctrl(0) xor scanSWk; -- Video mode change via ScrollLock / SCANDBLCTRL reg. scanSW <= scandoubler_ctrl(0) xor scanSWk; -- Video mode change via ScrollLock / SCANDBLCTRL reg.
--HDMI
Inst_MinimalDVID_encoder: MinimalDVID_encoder PORT MAP(
clk => clk32,
blank => blank,
hsync => hsync,
vsync => vsync,
red => red,
green => green,
blue => blue,
hdmi_p => hdmi_out_p,
hdmi_n => hdmi_out_n
);
end Behavioral; end Behavioral;

View File

@ -86,12 +86,15 @@ NET "j1_tr" LOC="P8" | IOSTANDARD = LVCMOS33 | PULLUP;
# Otros
NET "hdmi_out_p<0>" LOC="P44" | IOSTANDARD = "TMDS_33"; NET dred(2) LOC="P51" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET "hdmi_out_n<0>" LOC="P43" | IOSTANDARD = "TMDS_33"; NET dred(1) LOC="P50" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET "hdmi_out_p<1>" LOC="P46" | IOSTANDARD = "TMDS_33"; NET dred(0) LOC="P47" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET "hdmi_out_n<1>" LOC="P45" | IOSTANDARD = "TMDS_33"; NET dgreen(2) LOC="P40" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET "hdmi_out_p<2>" LOC="P48" | IOSTANDARD = "TMDS_33"; NET dgreen(1) LOC="P35" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET "hdmi_out_n<2>" LOC="P47" | IOSTANDARD = "TMDS_33"; NET dgreen(0) LOC="P33" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET "hdmi_out_p<3>" LOC="P41" | IOSTANDARD = "TMDS_33"; NET dblue(2) LOC="P23" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET "hdmi_out_n<3>" LOC="P40" | IOSTANDARD = "TMDS_33"; NET dblue(1) LOC="P17" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET dblue(0) LOC="P24" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET dhsync LOC="P57" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET dvsync LOC="P58" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;

View File

@ -8,7 +8,16 @@
module NES_ZXUNO( module NES_ZXUNO(
input CLOCK_50, input CLOCK_50,
// VGA // VGA
output vga_v, output vga_h, output [2:0] vga_r, output [2:0] vga_g, output [2:0] vga_b, output vga_v,
output vga_h,
output [2:0] vga_r,
output [2:0] vga_g,
output [2:0] vga_b,
output dvga_v,
output dvga_h,
output [2:0] dvga_r,
output [2:0] dvga_g,
output [2:0] dvga_b,
// Memory // Memory
output ram_WE_n, // Write Enable. WRITE when Low. output ram_WE_n, // Write Enable. WRITE when Low.
output [18:0] ram_a, output [18:0] ram_a,
@ -79,6 +88,12 @@ module NES_ZXUNO(
assign vga_g = vga_osd_g[7:5]; assign vga_g = vga_osd_g[7:5];
assign vga_b = vga_osd_b[7:5]; assign vga_b = vga_osd_b[7:5];
assign dvga_h = vga_hsync;
assign dvga_v = vga_vsync;
assign dvga_r = vga_osd_r[7:5];
assign dvga_g = vga_osd_g[7:5];
assign dvga_b = vga_osd_b[7:5];
assign led = loader_fail; assign led = loader_fail;
wire clock_locked; wire clock_locked;

View File

@ -103,3 +103,15 @@ NET "P_A" LOC="P8" | IOSTANDARD = LVCMOS33 | PULLUP;
#NET "sseg_a_to_dp[6]" LOC = P29 | IOSTANDARD=LVCMOS33; #NET "sseg_a_to_dp[6]" LOC = P29 | IOSTANDARD=LVCMOS33;
#NET "reset" LOC = P56 | IOSTANDARD=LVCMOS33; #NET "reset" LOC = P56 | IOSTANDARD=LVCMOS33;
#NET "set" LOC = P48 | IOSTANDARD=LVCMOS33; #NET "set" LOC = P48 | IOSTANDARD=LVCMOS33;
NET vga_r[2] LOC="P51" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET vga_r[1] LOC="P50" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET vga_r[0] LOC="P47" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET vga_g[2] LOC="P40" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET vga_g[1] LOC="P35" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET vga_g[0] LOC="P33" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET vga_b[2] LOC="P23" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET vga_b[1] LOC="P17" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET vga_b[0] LOC="P24" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET vga_h LOC="P57" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET vga_v LOC="P58" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;

View File

@ -91,3 +91,15 @@ NET "PS2DAT1" LOC="P98" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE =
NET "I_RESET" LOC="P51" | IOSTANDARD = LVCMOS33 | PULLDOWN; NET "I_RESET" LOC="P51" | IOSTANDARD = LVCMOS33 | PULLDOWN;
NET "CLK_50" TNM_NET = "CLK_50"; NET "CLK_50" TNM_NET = "CLK_50";
TIMESPEC "TS_CLK_50" = PERIOD "CLK_50" 20 ns HIGH 50 %; TIMESPEC "TS_CLK_50" = PERIOD "CLK_50" 20 ns HIGH 50 %;
NET D_VIDEO_R(2) LOC="P51" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET D_VIDEO_R(1) LOC="P50" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET D_VIDEO_R(0) LOC="P47" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET D_VIDEO_G(2) LOC="P40" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET D_VIDEO_G(1) LOC="P35" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET D_VIDEO_G(0) LOC="P33" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET D_VIDEO_B(2) LOC="P23" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET D_VIDEO_B(1) LOC="P17" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET D_VIDEO_B(0) LOC="P24" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET D_HSYNC LOC="P57" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET D_VSYNC LOC="P58" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;

View File

@ -33,11 +33,18 @@ port (
AUDIO_OUT : out std_logic; AUDIO_OUT : out std_logic;
-- VGA out -- VGA out
O_VIDEO_R : out std_logic_vector(2 downto 0); --Q O_VIDEO_R : inout std_logic_vector(2 downto 0); --Q
O_VIDEO_G : out std_logic_vector(2 downto 0); --Q O_VIDEO_G : inout std_logic_vector(2 downto 0); --Q
O_VIDEO_B : out std_logic_vector(2 downto 0); --Q O_VIDEO_B : inout std_logic_vector(2 downto 0); --Q
O_HSYNC : out std_logic; O_HSYNC : inout std_logic;
O_VSYNC : out std_logic; O_VSYNC : inout std_logic;
D_VIDEO_R : out std_logic_vector(2 downto 0); --Q
D_VIDEO_G : out std_logic_vector(2 downto 0); --Q
D_VIDEO_B : out std_logic_vector(2 downto 0); --Q
D_HSYNC : out std_logic;
D_VSYNC : out std_logic;
VIDEO_SYNC : out std_logic; VIDEO_SYNC : out std_logic;
-- K7 connector -- K7 connector
@ -163,6 +170,13 @@ begin
----------------------------------------------- -----------------------------------------------
-- generate all the system clocks required -- generate all the system clocks required
----------------------------------------------- -----------------------------------------------
D_VIDEO_R <= O_VIDEO_R;
D_VIDEO_G <= O_VIDEO_G;
D_VIDEO_B <= O_VIDEO_B;
D_HSYNC <= O_HSYNC;
D_VSYNC <= O_VSYNC;
inst_pll_base : PLL_BASE inst_pll_base : PLL_BASE
generic map ( generic map (
BANDWIDTH => "OPTIMIZED", -- "HIGH", "LOW" or "OPTIMIZED" BANDWIDTH => "OPTIMIZED", -- "HIGH", "LOW" or "OPTIMIZED"

View File

@ -87,3 +87,16 @@ NET "sram_we_n" LOC="P121" | IOSTANDARD = LVCMOS33;
# Otros # Otros
NET dr<2> LOC="P51" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET dr<1> LOC="P50" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET dr<0> LOC="P47" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET dg<2> LOC="P40" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET dg<1> LOC="P35" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET dg<0> LOC="P33" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET db<2> LOC="P23" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET db<1> LOC="P17" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET db<0> LOC="P24" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET dhsync LOC="P57" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET dvsync LOC="P58" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;

View File

@ -27,11 +27,16 @@ module tld_sam (
output wire audio_out_left, output wire audio_out_left,
output wire audio_out_right, output wire audio_out_right,
// Video output // Video output
output wire [2:0] r, inout wire [2:0] r,
output wire [2:0] g, inout wire [2:0] g,
output wire [2:0] b, inout wire [2:0] b,
output wire hsync, inout wire hsync,
output wire vsync, inout wire vsync,
output wire [2:0] dr,
output wire [2:0] dg,
output wire [2:0] db,
output wire dhsync,
output wire dvsync,
output wire stdn, output wire stdn,
output wire stdnb, output wire stdnb,
// SRAM interface // SRAM interface
@ -57,6 +62,12 @@ module tld_sam (
wire [2:0] gi = {sam_g, sam_bright}; wire [2:0] gi = {sam_g, sam_bright};
wire [2:0] bi = {sam_b, sam_bright}; wire [2:0] bi = {sam_b, sam_bright};
assign dr = r;
assign dg = g;
assign db = b;
assign dhsync = hsync;
assign dvsync = vsync;
assign stdn = 1'b0; // fijar norma PAL assign stdn = 1'b0; // fijar norma PAL
assign stdnb = 1'b1; // y conectamos reloj PAL assign stdnb = 1'b1; // y conectamos reloj PAL

File diff suppressed because it is too large Load Diff

View File

@ -56,12 +56,17 @@ entity VIC20 is
I_PS2_CLK : in std_logic; I_PS2_CLK : in std_logic;
I_PS2_DATA : in std_logic; I_PS2_DATA : in std_logic;
-- --
O_VIDEO_R : out std_logic_vector(2 downto 0); O_VIDEO_R : inout std_logic_vector(2 downto 0);
O_VIDEO_G : out std_logic_vector(2 downto 0); O_VIDEO_G : inout std_logic_vector(2 downto 0);
O_VIDEO_B : out std_logic_vector(2 downto 0); O_VIDEO_B : inout std_logic_vector(2 downto 0);
O_HSYNC : inout std_logic;
O_HSYNC : out std_logic; O_VSYNC : inout std_logic;
O_VSYNC : out std_logic; --
D_VIDEO_R : out std_logic_vector(2 downto 0);
D_VIDEO_G : out std_logic_vector(2 downto 0);
D_VIDEO_B : out std_logic_vector(2 downto 0);
D_HSYNC : out std_logic;
D_VSYNC : out std_logic;
-- --
O_AUDIO_L : out std_logic; O_AUDIO_L : out std_logic;
O_AUDIO_R : out std_logic; O_AUDIO_R : out std_logic;
@ -231,6 +236,12 @@ architecture RTL of VIC20 is
begin begin
D_VIDEO_R <= O_VIDEO_R;
D_VIDEO_G <= O_VIDEO_G;
D_VIDEO_B <= O_VIDEO_B;
D_VSYNC <= O_VSYNC;
D_HSYNC <= O_HSYNC;
EXP8K <= not scanSW(5); EXP8K <= not scanSW(5);
O_NTSC <= '0'; O_NTSC <= '0';

View File

@ -89,3 +89,15 @@ NET I_SW(4) LOC="P2" | IOSTANDARD = LVCMOS33 | PULLUP;
# Otros # Otros
NET I_CLK_REF TNM_NET = clk_ref_grp; NET I_CLK_REF TNM_NET = clk_ref_grp;
TIMESPEC TS01 = PERIOD : clk_ref_grp : 20.00 : PRIORITY 1; # 50.00 MHz TIMESPEC TS01 = PERIOD : clk_ref_grp : 20.00 : PRIORITY 1; # 50.00 MHz
NET D_VIDEO_R(2) LOC="P51" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET D_VIDEO_R(1) LOC="P50" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET D_VIDEO_R(0) LOC="P47" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET D_VIDEO_G(2) LOC="P40" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET D_VIDEO_G(1) LOC="P35" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET D_VIDEO_G(0) LOC="P33" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET D_VIDEO_B(2) LOC="P23" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET D_VIDEO_B(1) LOC="P17" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET D_VIDEO_B(0) LOC="P24" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET D_HSYNC LOC="P57" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;
NET D_VSYNC LOC="P58" | IOSTANDARD = LVCMOS33 | DRIVE=2 | SLEW=SLOW;

View File

@ -107,59 +107,57 @@ chslo2 djnz chslo1
ld l, a ld l, a
ld c, (hl) ld c, (hl)
ret ret
data incbin Manic.bin.skv
BLOCK 1*$4000-$ ; slot 1 verde mar Tecla 8
BLOCK 1*$4000-$ ; slot 1 verde mar
ld a, $42 ld a, $42
ld bc, $7f10 ld bc, $7f10
out (c), c out (c), c
out (c), a out (c), a
halt halt
BLOCK 2*$4000-$ ; slot 2 amarillo BLOCK 2*$4000-$ ; slot 2 amarillo Tecla 7
ld a, $43 ld a, $43
ld bc, $7f10 ld bc, $7f10
out (c), c out (c), c
out (c), a out (c), a
halt halt
BLOCK 3*$4000-$ ; slot 3 azul BLOCK 3*$4000-$ ; slot 3 azul Tecla U
ld a, $44 ld a, $44
ld bc, $7f10 ld bc, $7f10
out (c), c out (c), c
out (c), a out (c), a
halt halt
BLOCK 4*$4000-$ ; slot 4 rosa oscuro BLOCK 4*$4000-$ ; slot 4 rosa oscuro Tecla Y
ld a, $45 ld a, $45
ld bc, $7f10 ld bc, $7f10
out (c), c out (c), c
out (c), a out (c), a
halt halt
BLOCK 5*$4000-$ ; slot 5 cyan BLOCK 5*$4000-$ ; slot 5 cyan Tecla H
ld a, $46 ld a, $46
ld bc, $7f10 ld bc, $7f10
out (c), c out (c), c
out (c), a out (c), a
halt halt
BLOCK 6*$4000-$ ; slot 6 rosa claro BLOCK 6*$4000-$ ; slot 6 rosa claro Tecla J
ld a, $47 ld a, $47
ld bc, $7f10 ld bc, $7f10
out (c), c out (c), c
out (c), a out (c), a
halt halt
BLOCK 7*$4000-$ ; slot 7 verde brillante BLOCK 7*$4000-$ ; slot 7 verde brillante Tecla N
ld a, $52 ld a, $52
ld bc, $7f10 ld bc, $7f10
out (c), c out (c), c
out (c), a out (c), a
halt halt
BLOCK 8*$4000-$ ; slot 8 cyan brillante BLOCK 8*$4000-$ ; slot 8 cyan brillante Tecla SPACE
ld a, $53 ld a, $53
ld bc, $7f10 ld bc, $7f10
out (c), c out (c), c

View File

@ -87,13 +87,16 @@
<layer number="108" name="fp8" color="7" fill="1" visible="no" active="yes"/> <layer number="108" name="fp8" color="7" fill="1" visible="no" active="yes"/>
<layer number="109" name="fp9" color="7" fill="1" visible="no" active="yes"/> <layer number="109" name="fp9" color="7" fill="1" visible="no" active="yes"/>
<layer number="110" name="fp0" color="7" fill="1" visible="no" active="yes"/> <layer number="110" name="fp0" color="7" fill="1" visible="no" active="yes"/>
<layer number="111" name="IDFDebug" color="4" fill="1" visible="no" active="yes"/> <layer number="111" name="IDFDebug" color="4" fill="1" visible="yes" active="yes"/>
<layer number="112" name="tSilk" color="7" fill="1" visible="no" active="yes"/> <layer number="112" name="tSilk" color="7" fill="1" visible="no" active="yes"/>
<layer number="113" name="IDFDebug" color="7" fill="1" visible="yes" active="yes"/> <layer number="113" name="IDFDebug" color="7" fill="1" visible="yes" active="yes"/>
<layer number="114" name="Badge_Outline" color="7" fill="1" visible="yes" active="yes"/> <layer number="114" name="Badge_Outline" color="7" fill="1" visible="yes" active="yes"/>
<layer number="115" name="ReferenceISLANDS" color="7" fill="1" visible="yes" active="yes"/> <layer number="115" name="ReferenceISLANDS" color="7" fill="1" visible="yes" active="yes"/>
<layer number="116" name="Patch_BOT" color="7" fill="1" visible="no" active="yes"/> <layer number="116" name="Patch_BOT" color="7" fill="1" visible="no" active="yes"/>
<layer number="117" name="mPads" color="7" fill="1" visible="no" active="no"/>
<layer number="118" name="Rect_Pads" color="7" fill="1" visible="yes" active="yes"/> <layer number="118" name="Rect_Pads" color="7" fill="1" visible="yes" active="yes"/>
<layer number="119" name="mUnrouted" color="7" fill="1" visible="no" active="no"/>
<layer number="120" name="mDimension" color="7" fill="1" visible="no" active="no"/>
<layer number="121" name="_tsilk" color="7" fill="1" visible="no" active="yes"/> <layer number="121" name="_tsilk" color="7" fill="1" visible="no" active="yes"/>
<layer number="122" name="_bsilk" color="7" fill="1" visible="no" active="yes"/> <layer number="122" name="_bsilk" color="7" fill="1" visible="no" active="yes"/>
<layer number="123" name="tTestmark" color="7" fill="1" visible="no" active="yes"/> <layer number="123" name="tTestmark" color="7" fill="1" visible="no" active="yes"/>
@ -103,15 +106,38 @@
<layer number="127" name="_tValues" color="7" fill="1" visible="no" active="yes"/> <layer number="127" name="_tValues" color="7" fill="1" visible="no" active="yes"/>
<layer number="128" name="_bValues" color="7" fill="1" visible="no" active="yes"/> <layer number="128" name="_bValues" color="7" fill="1" visible="no" active="yes"/>
<layer number="129" name="Mask" color="7" fill="1" visible="yes" active="yes"/> <layer number="129" name="Mask" color="7" fill="1" visible="yes" active="yes"/>
<layer number="130" name="tSilk" color="7" fill="1" visible="yes" active="yes"/>
<layer number="131" name="prix" color="7" fill="1" visible="no" active="yes"/> <layer number="131" name="prix" color="7" fill="1" visible="no" active="yes"/>
<layer number="132" name="test" color="7" fill="1" visible="no" active="yes"/> <layer number="132" name="test" color="7" fill="1" visible="no" active="yes"/>
<layer number="133" name="Ports" color="7" fill="1" visible="yes" active="yes"/>
<layer number="134" name="Port2" color="7" fill="1" visible="yes" active="yes"/>
<layer number="135" name="Port3" color="7" fill="1" visible="yes" active="yes"/>
<layer number="136" name="mbGlue" color="7" fill="1" visible="no" active="no"/>
<layer number="137" name="mtTest" color="7" fill="1" visible="no" active="no"/>
<layer number="138" name="mbTest" color="7" fill="1" visible="no" active="no"/>
<layer number="139" name="mtKeepout" color="7" fill="1" visible="no" active="no"/>
<layer number="140" name="mbKeepout" color="7" fill="1" visible="no" active="no"/>
<layer number="141" name="mtRestrict" color="7" fill="1" visible="no" active="no"/>
<layer number="142" name="mbRestrict" color="7" fill="1" visible="no" active="no"/>
<layer number="143" name="mvRestrict" color="7" fill="1" visible="no" active="no"/>
<layer number="144" name="Drill_legend" color="7" fill="1" visible="no" active="yes"/> <layer number="144" name="Drill_legend" color="7" fill="1" visible="no" active="yes"/>
<layer number="145" name="mHoles" color="7" fill="1" visible="no" active="no"/>
<layer number="146" name="mMilling" color="7" fill="1" visible="no" active="no"/>
<layer number="147" name="mMeasures" color="7" fill="1" visible="no" active="no"/>
<layer number="148" name="mDocument" color="7" fill="1" visible="no" active="no"/>
<layer number="149" name="mReference" color="7" fill="1" visible="no" active="no"/>
<layer number="150" name="Notes" color="7" fill="1" visible="yes" active="yes"/> <layer number="150" name="Notes" color="7" fill="1" visible="yes" active="yes"/>
<layer number="151" name="HeatSink" color="7" fill="1" visible="no" active="yes"/> <layer number="151" name="HeatSink" color="7" fill="1" visible="no" active="yes"/>
<layer number="152" name="_bDocu" color="7" fill="1" visible="no" active="yes"/> <layer number="152" name="_bDocu" color="7" fill="1" visible="no" active="yes"/>
<layer number="153" name="FabDoc1" color="7" fill="1" visible="yes" active="yes"/> <layer number="153" name="FabDoc1" color="7" fill="1" visible="yes" active="yes"/>
<layer number="154" name="FabDoc2" color="7" fill="1" visible="yes" active="yes"/> <layer number="154" name="FabDoc2" color="7" fill="1" visible="yes" active="yes"/>
<layer number="155" name="FabDoc3" color="7" fill="1" visible="yes" active="yes"/> <layer number="155" name="FabDoc3" color="7" fill="1" visible="yes" active="yes"/>
<layer number="191" name="mNets" color="7" fill="1" visible="no" active="no"/>
<layer number="192" name="mBusses" color="7" fill="1" visible="no" active="no"/>
<layer number="193" name="mPins" color="7" fill="1" visible="no" active="no"/>
<layer number="194" name="mSymbols" color="7" fill="1" visible="no" active="no"/>
<layer number="195" name="mNames" color="7" fill="1" visible="no" active="no"/>
<layer number="196" name="mValues" color="7" fill="1" visible="no" active="no"/>
<layer number="199" name="Contour" color="7" fill="1" visible="no" active="yes"/> <layer number="199" name="Contour" color="7" fill="1" visible="no" active="yes"/>
<layer number="200" name="200bmp" color="7" fill="1" visible="no" active="yes"/> <layer number="200" name="200bmp" color="7" fill="1" visible="no" active="yes"/>
<layer number="201" name="201bmp" color="7" fill="1" visible="no" active="yes"/> <layer number="201" name="201bmp" color="7" fill="1" visible="no" active="yes"/>
@ -159,12 +185,6 @@
<wire x1="0" y1="0" x2="99.5734" y2="0" width="0" layer="20"/> <wire x1="0" y1="0" x2="99.5734" y2="0" width="0" layer="20"/>
<wire x1="0" y1="73.66" x2="99.5934" y2="73.66" width="0" layer="20"/> <wire x1="0" y1="73.66" x2="99.5934" y2="73.66" width="0" layer="20"/>
<wire x1="99.5934" y1="73.66" x2="99.5934" y2="0" width="0" layer="20"/> <wire x1="99.5934" y1="73.66" x2="99.5934" y2="0" width="0" layer="20"/>
<circle x="0" y="88.90005" radius="1.999996875" width="0.4064" layer="111"/>
<wire x1="0" y1="0" x2="48.240034375" y2="0" width="0.254" layer="111"/>
<wire x1="48.240034375" y1="0" x2="48.260025" y2="0.508" width="0.254" layer="111"/>
<wire x1="48.260025" y1="0.508" x2="48.260025" y2="99.06005" width="0.254" layer="111"/>
<wire x1="48.260025" y1="99.06005" x2="0" y2="99.06005" width="0.254" layer="111"/>
<wire x1="0" y1="99.06005" x2="0" y2="88.90005" width="0.254" layer="111"/>
</plain> </plain>
<libraries> <libraries>
<library name="zx_spectrum"> <library name="zx_spectrum">
@ -233,29 +253,6 @@
</package> </package>
</packages> </packages>
</library> </library>
<library name="burr-brown">
<description>&lt;b&gt;Burr-Brown Components&lt;/b&gt;&lt;p&gt;
&lt;author&gt;Created by librarian@cadsoft.de&lt;/author&gt;</description>
<packages>
<package name="SOT223">
<description>&lt;b&gt;Smal Outline Transistor&lt;/b&gt;</description>
<wire x1="-3.124" y1="1.731" x2="-3.124" y2="-1.729" width="0.1524" layer="21"/>
<wire x1="3.124" y1="-1.729" x2="3.124" y2="1.731" width="0.1524" layer="21"/>
<wire x1="-3.124" y1="1.731" x2="3.124" y2="1.731" width="0.1524" layer="21"/>
<wire x1="3.124" y1="-1.729" x2="-3.124" y2="-1.729" width="0.1524" layer="21"/>
<smd name="1" x="-2.2606" y="-3.1496" dx="1.4986" dy="2.0066" layer="1"/>
<smd name="2" x="0.0254" y="-3.1496" dx="1.4986" dy="2.0066" layer="1"/>
<smd name="3" x="2.3114" y="-3.1496" dx="1.4986" dy="2.0066" layer="1"/>
<smd name="4" x="0" y="3.1496" dx="3.81" dy="2.0066" layer="1"/>
<text x="-2.54" y="4.318" size="1.27" layer="25" ratio="10">&gt;NAME</text>
<text x="-2.794" y="-5.842" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
<rectangle x1="-1.524" y1="1.778" x2="1.524" y2="3.302" layer="51"/>
<rectangle x1="-2.667" y1="-3.302" x2="-1.905" y2="-1.778" layer="51"/>
<rectangle x1="1.905" y1="-3.302" x2="2.667" y2="-1.778" layer="51"/>
<rectangle x1="-0.381" y1="-3.302" x2="0.381" y2="-1.778" layer="51"/>
</package>
</packages>
</library>
<library name="rcl"> <library name="rcl">
<description>&lt;b&gt;Resistors, Capacitors, Inductors&lt;/b&gt;&lt;p&gt; <description>&lt;b&gt;Resistors, Capacitors, Inductors&lt;/b&gt;&lt;p&gt;
Based on the previous libraries: Based on the previous libraries:
@ -1305,21 +1302,33 @@ for trimmer refence see : &lt;u&gt;www.electrospec-inc.com/cross_references/trim
&lt;/tr&gt; &lt;/tr&gt;
&lt;/table&gt;</description> &lt;/table&gt;</description>
<packages> <packages>
<package name="C0805"> <package name="C0805K">
<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;</description> <description>&lt;b&gt;Ceramic Chip Capacitor KEMET 0805 reflow solder&lt;/b&gt;&lt;p&gt;
Metric Code Size 2012</description>
<wire x1="-0.925" y1="0.6" x2="0.925" y2="0.6" width="0.1016" layer="51"/>
<wire x1="0.925" y1="-0.6" x2="-0.925" y2="-0.6" width="0.1016" layer="51"/>
<smd name="1" x="-1" y="0" dx="1.3" dy="1.6" layer="1"/>
<smd name="2" x="1" y="0" dx="1.3" dy="1.6" layer="1"/>
<text x="-1" y="0.875" size="1.016" layer="25">&gt;NAME</text>
<text x="-1" y="-1.9" size="1.016" layer="27">&gt;VALUE</text>
<rectangle x1="-1" y1="-0.65" x2="-0.5" y2="0.65" layer="51"/>
<rectangle x1="0.5" y1="-0.65" x2="1" y2="0.65" layer="51"/>
</package>
<package name="R0805">
<description>&lt;b&gt;RESISTOR&lt;/b&gt;&lt;p&gt;</description>
<wire x1="-0.41" y1="0.635" x2="0.41" y2="0.635" width="0.1524" layer="51"/>
<wire x1="-0.41" y1="-0.635" x2="0.41" y2="-0.635" width="0.1524" layer="51"/>
<wire x1="-1.973" y1="0.983" x2="1.973" y2="0.983" width="0.0508" layer="39"/> <wire x1="-1.973" y1="0.983" x2="1.973" y2="0.983" width="0.0508" layer="39"/>
<wire x1="1.973" y1="0.983" x2="1.973" y2="-0.983" width="0.0508" layer="39"/>
<wire x1="1.973" y1="-0.983" x2="-1.973" y2="-0.983" width="0.0508" layer="39"/> <wire x1="1.973" y1="-0.983" x2="-1.973" y2="-0.983" width="0.0508" layer="39"/>
<wire x1="-1.973" y1="-0.983" x2="-1.973" y2="0.983" width="0.0508" layer="39"/> <wire x1="-1.973" y1="-0.983" x2="-1.973" y2="0.983" width="0.0508" layer="39"/>
<wire x1="-0.381" y1="0.66" x2="0.381" y2="0.66" width="0.1016" layer="51"/>
<wire x1="-0.356" y1="-0.66" x2="0.381" y2="-0.66" width="0.1016" layer="51"/>
<wire x1="1.973" y1="0.983" x2="1.973" y2="-0.983" width="0.0508" layer="39"/>
<smd name="1" x="-0.95" y="0" dx="1.3" dy="1.5" layer="1"/> <smd name="1" x="-0.95" y="0" dx="1.3" dy="1.5" layer="1"/>
<smd name="2" x="0.95" y="0" dx="1.3" dy="1.5" layer="1"/> <smd name="2" x="0.95" y="0" dx="1.3" dy="1.5" layer="1"/>
<text x="-1.27" y="1.27" size="1.27" layer="25">&gt;NAME</text> <text x="-0.635" y="1.27" size="1.27" layer="25">&gt;NAME</text>
<text x="-1.27" y="-2.54" size="1.27" layer="27">&gt;VALUE</text> <text x="-0.635" y="-2.54" size="1.27" layer="27">&gt;VALUE</text>
<rectangle x1="-1.0922" y1="-0.7239" x2="-0.3421" y2="0.7262" layer="51"/> <rectangle x1="0.4064" y1="-0.6985" x2="1.0564" y2="0.7015" layer="51"/>
<rectangle x1="0.3556" y1="-0.7239" x2="1.1057" y2="0.7262" layer="51"/> <rectangle x1="-1.0668" y1="-0.6985" x2="-0.4168" y2="0.7015" layer="51"/>
<rectangle x1="-0.1001" y1="-0.4001" x2="0.1001" y2="0.4001" layer="35"/> <rectangle x1="-0.1999" y1="-0.5001" x2="0.1999" y2="0.5001" layer="35"/>
</package> </package>
</packages> </packages>
</library> </library>
@ -2297,14 +2306,215 @@ Audio, scart, microphone, headphone&lt;p&gt;
</package> </package>
</packages> </packages>
</library> </library>
<library name="dp_devices">
<description>Dangerous Prototypes Standard PCB sizes
http://dangerousprototypes.com</description>
<packages>
<package name="DC_BARREL_JACK_PTH_2MM_2">
<wire x1="-4.5" y1="-7.445" x2="-4.5" y2="-5.435" width="0.3048" layer="21"/>
<wire x1="-4.5" y1="-5.435" x2="-4.5" y2="6.305" width="0.3048" layer="21"/>
<wire x1="4.5" y1="-5.435" x2="4.5" y2="-7.445" width="0.3048" layer="21"/>
<wire x1="-4" y1="-5.435" x2="-4" y2="4.905" width="0.2032" layer="21"/>
<wire x1="-4.5" y1="-5.435" x2="2.94" y2="-5.435" width="0.3048" layer="21"/>
<wire x1="3.06" y1="-5.435" x2="4" y2="-5.435" width="0.3048" layer="21"/>
<wire x1="4" y1="-5.435" x2="4.5" y2="-5.435" width="0.3048" layer="21"/>
<wire x1="-3.45" y1="-8.495" x2="3.45" y2="-8.495" width="0.3048" layer="21"/>
<wire x1="-3.45" y1="-8.495" x2="-4.5" y2="-7.445" width="0.3048" layer="21" curve="-90"/>
<wire x1="3.45" y1="-8.495" x2="4.5" y2="-7.445" width="0.3048" layer="21" curve="90"/>
<wire x1="-4.5" y1="-7.445" x2="4.5" y2="-7.445" width="0.2032" layer="21"/>
<wire x1="4.5" y1="6.305" x2="4.5" y2="4.69" width="0.3048" layer="21"/>
<wire x1="4.5" y1="-0.195" x2="4.5" y2="-5.435" width="0.3048" layer="21"/>
<wire x1="4.5" y1="4.68" x2="4.5" y2="-0.185" width="0.3048" layer="48"/>
<wire x1="-4.5" y1="6.305" x2="-2.5" y2="6.305" width="0.3048" layer="21"/>
<wire x1="2.5" y1="6.305" x2="4.5" y2="6.305" width="0.3048" layer="21"/>
<wire x1="-2.5" y1="6.305" x2="2.5" y2="6.305" width="0.3048" layer="48"/>
<wire x1="-4" y1="4.905" x2="-3" y2="4.905" width="0.2032" layer="21"/>
<wire x1="3" y1="4.905" x2="4" y2="4.905" width="0.2032" layer="21"/>
<wire x1="-3" y1="4.905" x2="3" y2="4.905" width="0.2032" layer="48"/>
<wire x1="4" y1="-0.095" x2="4" y2="-5.435" width="0.2032" layer="21"/>
<wire x1="4" y1="4.905" x2="4" y2="4.565" width="0.2032" layer="21"/>
<wire x1="4" y1="4.905" x2="4" y2="-0.435" width="0.2032" layer="48"/>
<wire x1="-1" y1="-0.295" x2="1" y2="-0.295" width="0" layer="46"/>
<wire x1="1" y1="-0.295" x2="1" y2="-1.295" width="0" layer="46" curve="-180"/>
<wire x1="1" y1="-1.295" x2="-1" y2="-1.295" width="0" layer="46"/>
<wire x1="-1" y1="-1.295" x2="-1" y2="-0.295" width="0" layer="46" curve="-180"/>
<wire x1="4.4" y1="3.255" x2="5.4" y2="3.255" width="0" layer="46" curve="-180"/>
<wire x1="5.4" y1="3.255" x2="5.4" y2="1.255" width="0" layer="46"/>
<wire x1="5.4" y1="1.255" x2="4.4" y2="1.255" width="0" layer="46" curve="-180"/>
<wire x1="4.4" y1="1.255" x2="4.4" y2="3.255" width="0" layer="46"/>
<wire x1="-1.25" y1="5.705" x2="1.25" y2="5.705" width="0" layer="46"/>
<wire x1="1.25" y1="5.705" x2="1.25" y2="4.705" width="0" layer="46" curve="-180"/>
<wire x1="1.25" y1="4.705" x2="-1.25" y2="4.705" width="0" layer="46"/>
<wire x1="-1.25" y1="4.705" x2="-1.25" y2="5.705" width="0" layer="46" curve="-180"/>
<wire x1="0" y1="4.445" x2="8.89" y2="-10.16" width="0.0762" layer="49"/>
<wire x1="0" y1="4.445" x2="0" y2="3.9688" width="0.0762" layer="49"/>
<wire x1="0" y1="4.445" x2="0.4763" y2="4.1275" width="0.0762" layer="49"/>
<wire x1="1.4288" y1="-1.4288" x2="8.89" y2="-10.16" width="0.0762" layer="49"/>
<wire x1="1.4288" y1="-1.4288" x2="1.5875" y2="-1.905" width="0.0762" layer="49"/>
<wire x1="1.4288" y1="-1.4288" x2="1.905" y2="-1.5875" width="0.0762" layer="49"/>
<wire x1="5.08" y1="0.635" x2="8.89" y2="-10.16" width="0.0762" layer="49"/>
<wire x1="5.08" y1="0.635" x2="5.08" y2="0.1588" width="0.0762" layer="49"/>
<wire x1="5.08" y1="0.635" x2="5.3975" y2="0.3175" width="0.0762" layer="49"/>
<pad name="P3" x="0" y="-0.795" drill="1" diameter="2.75" shape="long"/>
<pad name="P2" x="4.9" y="2.255" drill="1" diameter="2.75" shape="long" rot="R90"/>
<pad name="P1" x="0" y="5.205" drill="1" diameter="3" shape="long"/>
<text x="-4.445" y="6.985" size="1.27" layer="25" font="vector" ratio="10">&gt;NAME</text>
<text x="8.89" y="-12.7" size="1.4224" layer="49" font="vector" ratio="10">3 x plated slots</text>
<text x="8.89" y="-15.24" size="1.4224" layer="49" font="vector" ratio="10">#46 milling layer</text>
</package>
</packages>
</library>
<library name="rectifier">
<description>&lt;b&gt;Rectifiers&lt;/b&gt;&lt;p&gt;
General Instrument, Semikron, Diotec, Fagor&lt;p&gt;
&lt;author&gt;Created by librarian@cadsoft.de&lt;/author&gt;</description>
<packages>
<package name="1KAB">
<description>&lt;b&gt;RECTIFIER&lt;/b&gt;&lt;p&gt;
grid 5,08 x 5,08 mm</description>
<wire x1="-4.445" y1="-4.826" x2="2.54" y2="-4.826" width="0.1524" layer="21"/>
<wire x1="2.54" y1="-4.826" x2="4.826" y2="-2.54" width="0.1524" layer="21"/>
<wire x1="4.826" y1="-2.54" x2="4.826" y2="4.445" width="0.1524" layer="21"/>
<wire x1="-4.826" y1="-4.445" x2="-4.445" y2="-4.826" width="0.1524" layer="21" curve="90"/>
<wire x1="4.445" y1="4.826" x2="4.826" y2="4.445" width="0.1524" layer="21" curve="-90"/>
<wire x1="-4.826" y1="4.445" x2="-4.445" y2="4.826" width="0.1524" layer="21" curve="-90"/>
<wire x1="-4.826" y1="-4.445" x2="-4.826" y2="4.445" width="0.1524" layer="21"/>
<wire x1="4.445" y1="4.826" x2="-4.445" y2="4.826" width="0.1524" layer="21"/>
<wire x1="1.524" y1="3.81" x2="2.54" y2="3.81" width="0.1524" layer="51" curve="-180"/>
<wire x1="2.54" y1="3.81" x2="3.4036" y2="3.81" width="0.1524" layer="51" curve="180"/>
<wire x1="-3.556" y1="-3.81" x2="-2.54" y2="-3.81" width="0.1524" layer="51" curve="-180"/>
<wire x1="-2.54" y1="-3.81" x2="-1.6764" y2="-3.81" width="0.1524" layer="51" curve="180"/>
<pad name="AC1" x="-2.54" y="-2.54" drill="1.016" shape="octagon"/>
<pad name="+" x="2.54" y="-2.54" drill="1.016" shape="octagon"/>
<pad name="AC2" x="2.54" y="2.54" drill="1.016" shape="octagon"/>
<pad name="-" x="-2.54" y="2.54" drill="1.016" shape="octagon"/>
<text x="-4.699" y="5.461" size="1.27" layer="25" ratio="10">&gt;NAME</text>
<text x="-4.445" y="-0.635" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
<text x="1.27" y="-4.318" size="1.27" layer="51" ratio="10">+</text>
<text x="-3.937" y="3.302" size="1.27" layer="51" ratio="10">-</text>
<rectangle x1="-5.08" y1="-5.08" x2="2.54" y2="5.08" layer="43"/>
<rectangle x1="2.54" y1="-2.54" x2="5.08" y2="5.08" layer="43"/>
<rectangle x1="2.54" y1="-3.81" x2="3.81" y2="-2.54" layer="43"/>
</package>
</packages>
</library>
<library name="diy-modules">
<description>&lt;b&gt;DIY Modules for Arduino, Raspberry Pi, CubieBoard etc.&lt;/b&gt;
&lt;br&gt;&lt;br&gt;
The library contains a list of symbols and footprints for popular, cheap and easy-to-use electronic modules.&lt;br&gt;
The modules are intend to work with microprocessor-based platforms such as &lt;a href="http://arduino.cc"&gt;Arduino&lt;/a&gt;, &lt;a href="http://raspberrypi.org/"&gt;Raspberry Pi&lt;/a&gt;, &lt;a href="http://cubieboard.org/"&gt;CubieBoard&lt;/a&gt;, &lt;a href="http://beagleboard.org/"&gt;BeagleBone&lt;/a&gt; and many others. There are many manufacturers of the modules in the world. Almost all of them can be bought on &lt;a href="ebay.com"&gt;ebay.com&lt;/a&gt;.&lt;br&gt;
&lt;br&gt;
By using this library, you can design a PCB for devices created with usage of modules. Even if you do not need to create PCB design, you can also use the library to quickly document your work by drawing schematics of devices built by you.&lt;br&gt;
&lt;br&gt;
The latest version, examples, photos and much more can be found at: &lt;b&gt;&lt;a href="http://diymodules.org/eagle"&gt;diymodules.org/eagle&lt;/a&gt;&lt;/b&gt;&lt;br&gt;&lt;br&gt;
Comments, suggestions and bug reports please send to: &lt;b&gt;&lt;a href="mailto:eagle@diymodules.org"&gt;eagle@diymodules.org&lt;/b&gt;&lt;/a&gt;&lt;br&gt;&lt;br&gt;
&lt;i&gt;Version: 1.8.0 (2017-Jul-02)&lt;/i&gt;&lt;br&gt;
&lt;i&gt;Created by: Miroslaw Brudnowski&lt;/i&gt;&lt;br&gt;&lt;br&gt;
&lt;i&gt;Released under the Creative Commons Attribution 4.0 International License: &lt;a href="http://creativecommons.org/licenses/by/4.0"&gt;http://creativecommons.org/licenses/by/4.0&lt;/a&gt;&lt;/i&gt;
&lt;br&gt;&lt;br&gt;
&lt;center&gt;
&lt;a href="http://diymodules.org/eagle"&gt;&lt;img src="http://www.diymodules.org/img/diymodules-lbr-image.php?v=1.8.0" alt="DIYmodules.org"&gt;&lt;/a&gt;
&lt;/center&gt;</description>
<packages>
<package name="DC-DC-STEP-DOWN-LM2596">
<description>&lt;b&gt;DC/DC Step-Down Regulator&lt;/b&gt; based on &lt;b&gt;LM2596-ADJ&lt;/b&gt; chip</description>
<wire x1="-21.59" y1="10.414" x2="21.59" y2="10.414" width="0.127" layer="21"/>
<wire x1="21.59" y1="10.414" x2="21.59" y2="-10.414" width="0.127" layer="21"/>
<wire x1="21.59" y1="-10.414" x2="-21.59" y2="-10.414" width="0.127" layer="21"/>
<wire x1="-21.59" y1="-10.414" x2="-21.59" y2="10.414" width="0.127" layer="21"/>
<pad name="IN+" x="-19.812" y="8.636" drill="1.5" diameter="2.5" shape="square"/>
<pad name="IN-" x="-19.812" y="-8.636" drill="1.5" diameter="2.5" shape="square"/>
<pad name="OUT-" x="19.812" y="-8.636" drill="1.5" diameter="2.5" shape="square"/>
<pad name="OUT+" x="19.812" y="8.636" drill="1.5" diameter="2.5" shape="square"/>
<hole x="-14.478" y="7.62" drill="3"/>
<hole x="15.24" y="-7.62" drill="3"/>
<text x="0" y="11.43" size="1.27" layer="25" align="bottom-center">&gt;NAME</text>
<text x="0" y="-11.43" size="1.27" layer="27" align="top-center">&gt;VALUE</text>
<text x="-19.685" y="0" size="1.778" layer="21" rot="R90" align="center">IN</text>
<text x="19.685" y="0" size="1.778" layer="21" rot="R90" align="center">OUT</text>
<wire x1="19.685" y1="6.985" x2="19.685" y2="5.715" width="0.254" layer="21"/>
<wire x1="19.05" y1="6.35" x2="20.32" y2="6.35" width="0.254" layer="21"/>
<wire x1="-19.685" y1="-6.985" x2="-19.685" y2="-5.715" width="0.254" layer="21"/>
<wire x1="19.685" y1="-6.985" x2="19.685" y2="-5.715" width="0.254" layer="21"/>
<wire x1="-19.685" y1="6.985" x2="-19.685" y2="5.715" width="0.254" layer="21"/>
<wire x1="-20.32" y1="6.35" x2="-19.05" y2="6.35" width="0.254" layer="21"/>
</package>
</packages>
</library>
<library name="crystal">
<description>&lt;b&gt;Crystals and Crystal Resonators&lt;/b&gt;&lt;p&gt;
&lt;author&gt;Created by librarian@cadsoft.de&lt;/author&gt;</description>
<packages>
<package name="HC49/S">
<description>&lt;b&gt;CRYSTAL&lt;/b&gt;</description>
<wire x1="-3.048" y1="-2.159" x2="3.048" y2="-2.159" width="0.4064" layer="21"/>
<wire x1="-3.048" y1="2.159" x2="3.048" y2="2.159" width="0.4064" layer="21"/>
<wire x1="-3.048" y1="-1.651" x2="3.048" y2="-1.651" width="0.1524" layer="21"/>
<wire x1="3.048" y1="1.651" x2="-3.048" y2="1.651" width="0.1524" layer="21"/>
<wire x1="-0.254" y1="0.762" x2="0.254" y2="0.762" width="0.1524" layer="21"/>
<wire x1="0.254" y1="0.762" x2="0.254" y2="-0.762" width="0.1524" layer="21"/>
<wire x1="0.254" y1="-0.762" x2="-0.254" y2="-0.762" width="0.1524" layer="21"/>
<wire x1="-0.254" y1="-0.762" x2="-0.254" y2="0.762" width="0.1524" layer="21"/>
<wire x1="0.635" y1="0.762" x2="0.635" y2="0" width="0.1524" layer="21"/>
<wire x1="0.635" y1="0" x2="0.635" y2="-0.762" width="0.1524" layer="21"/>
<wire x1="-0.635" y1="0.762" x2="-0.635" y2="0" width="0.1524" layer="21"/>
<wire x1="-0.635" y1="0" x2="-0.635" y2="-0.762" width="0.1524" layer="21"/>
<wire x1="0.635" y1="0" x2="1.27" y2="0" width="0.1524" layer="21"/>
<wire x1="-0.635" y1="0" x2="-1.27" y2="0" width="0.1524" layer="21"/>
<wire x1="-3.048" y1="2.159" x2="-3.048" y2="-2.159" width="0.4064" layer="21" curve="180"/>
<wire x1="3.048" y1="-2.159" x2="3.048" y2="2.159" width="0.4064" layer="21" curve="180"/>
<wire x1="-3.048" y1="1.651" x2="-3.048" y2="-1.651" width="0.1524" layer="21" curve="180"/>
<wire x1="3.048" y1="-1.651" x2="3.048" y2="1.651" width="0.1524" layer="21" curve="180"/>
<pad name="1" x="-2.413" y="0" drill="0.8128"/>
<pad name="2" x="2.413" y="0" drill="0.8128"/>
<text x="-5.08" y="2.667" size="1.27" layer="25" ratio="10">&gt;NAME</text>
<text x="-5.08" y="-3.937" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
<rectangle x1="-4.445" y1="-2.54" x2="4.445" y2="2.54" layer="43"/>
<rectangle x1="-5.08" y1="-1.905" x2="-4.445" y2="1.905" layer="43"/>
<rectangle x1="-5.715" y1="-1.27" x2="-5.08" y2="1.27" layer="43"/>
<rectangle x1="4.445" y1="-1.905" x2="5.08" y2="1.905" layer="43"/>
<rectangle x1="5.08" y1="-1.27" x2="5.715" y2="1.27" layer="43"/>
</package>
</packages>
</library>
<library name="74LV">
<description>&lt;b&gt;74LV series and Little Logic&lt;/b&gt;&lt;p&gt;
&lt;p&gt;THIS LIBRARY IS PROVIDED AS IS AND WITHOUT WARRANTY OF ANY KIND, EXPRESSED OR IMPLIED.&lt;br&gt;
USE AT YOUR OWN RISK!&lt;p&gt;
&lt;author&gt;Copyright (C) 2008, Bob Starr&lt;br&gt; http://www.bobstarr.net&lt;br&gt;&lt;/author&gt;</description>
<packages>
<package name="SOT23-6">
<description>&lt;b&gt;SOT-23&lt;/b&gt; - DBV&lt;p&gt;
0.95 mm pitch</description>
<wire x1="1.4724" y1="0.8104" x2="1.4724" y2="-0.8104" width="0.1778" layer="21"/>
<wire x1="1.4224" y1="-0.8104" x2="-1.4224" y2="-0.8104" width="0.1778" layer="51"/>
<wire x1="-1.4724" y1="-0.8104" x2="-1.4724" y2="0.8104" width="0.1778" layer="21"/>
<wire x1="-1.4224" y1="0.8104" x2="1.4224" y2="0.8104" width="0.1778" layer="51"/>
<circle x="-0.9525" y="-0.2675" radius="0.2245" width="0" layer="21"/>
<smd name="1" x="-0.95" y="-1.3001" dx="0.55" dy="1.2" layer="1"/>
<smd name="2" x="0" y="-1.3001" dx="0.55" dy="1.2" layer="1"/>
<smd name="3" x="0.95" y="-1.3001" dx="0.55" dy="1.2" layer="1"/>
<smd name="4" x="0.95" y="1.3001" dx="0.55" dy="1.2" layer="1"/>
<smd name="6" x="-0.95" y="1.3001" dx="0.55" dy="1.2" layer="1"/>
<smd name="5" x="0" y="1.3001" dx="0.55" dy="1.2" layer="1"/>
<text x="-1.905" y="2.2225" size="1.016" layer="25" ratio="18">&gt;NAME</text>
<text x="-1.905" y="-3.175" size="0.8128" layer="27" ratio="10">&gt;VALUE</text>
<rectangle x1="-1.2" y1="-1.5" x2="-0.7" y2="-0.85" layer="51"/>
<rectangle x1="-0.25" y1="-1.5" x2="0.25" y2="-0.85" layer="51"/>
<rectangle x1="0.7" y1="-1.5" x2="1.2" y2="-0.85" layer="51"/>
<rectangle x1="0.7" y1="0.85" x2="1.2" y2="1.5" layer="51"/>
<rectangle x1="-1.2" y1="0.85" x2="-0.7" y2="1.5" layer="51"/>
<rectangle x1="-0.25" y1="0.85" x2="0.25" y2="1.5" layer="51"/>
</package>
</packages>
</library>
</libraries> </libraries>
<attributes> <attributes>
</attributes> </attributes>
<variantdefs> <variantdefs>
</variantdefs> </variantdefs>
<classes> <classes>
<class number="0" name="default" width="0.1778" drill="0"> <class number="0" name="default" width="0" drill="0">
<clearance class="0" value="0.1778"/>
</class> </class>
<class number="1" name="power" width="0.3048" drill="0"> <class number="1" name="power" width="0.3048" drill="0">
<clearance class="0" value="0.1778"/> <clearance class="0" value="0.1778"/>
@ -2482,14 +2692,6 @@ Audio, scart, microphone, headphone&lt;p&gt;
</autorouter> </autorouter>
<elements> <elements>
<element name="U$1" library="zx_spectrum" package="CONECTOR_LADO_SPECTRUM" value="CONECTOR_EDGE_LADO_SPECTRUM" x="6.604" y="5.08" smashed="yes" rot="R180"/> <element name="U$1" library="zx_spectrum" package="CONECTOR_LADO_SPECTRUM" value="CONECTOR_EDGE_LADO_SPECTRUM" x="6.604" y="5.08" smashed="yes" rot="R180"/>
<element name="IC1" library="burr-brown" package="SOT223" value="REG1117" x="81.8642" y="61.468" rot="R90">
<attribute name="MPN" value="REG1117" x="81.8642" y="61.468" size="1.778" layer="27" rot="R90" display="off"/>
<attribute name="MF" value="" x="81.8642" y="61.468" size="1.778" layer="27" rot="R90" display="off"/>
<attribute name="OC_NEWARK" value="14P6981" x="81.8642" y="61.468" size="1.778" layer="27" rot="R90" display="off"/>
<attribute name="OC_FARNELL" value="1097566" x="81.8642" y="61.468" size="1.778" layer="27" rot="R90" display="off"/>
</element>
<element name="C1" library="rcl" package="C0805" value="" x="82.7786" y="68.58"/>
<element name="C2" library="rcl" package="C0805" value="" x="90.297" y="60.0202" rot="R270"/>
<element name="IC2" library="altera" package="PQFP100" value="EPM7128-P100" x="44.3484" y="33.3756"> <element name="IC2" library="altera" package="PQFP100" value="EPM7128-P100" x="44.3484" y="33.3756">
<attribute name="MF" value="" x="44.3484" y="33.3756" size="1.778" layer="27" display="off"/> <attribute name="MF" value="" x="44.3484" y="33.3756" size="1.778" layer="27" display="off"/>
<attribute name="MPN" value="EPM7128SQC100-7N" x="44.3484" y="33.3756" size="1.778" layer="27" display="off"/> <attribute name="MPN" value="EPM7128SQC100-7N" x="44.3484" y="33.3756" size="1.778" layer="27" display="off"/>
@ -2499,23 +2701,34 @@ Audio, scart, microphone, headphone&lt;p&gt;
<element name="IC3" library="memory" package="SOP32L" value="" x="67.8942" y="10.1346"/> <element name="IC3" library="memory" package="SOP32L" value="" x="67.8942" y="10.1346"/>
<element name="IC4" library="memory" package="SOP32L" value="" x="44.196" y="10.1346"/> <element name="IC4" library="memory" package="SOP32L" value="" x="44.196" y="10.1346"/>
<element name="IC5" library="zilog" package="DIL40" value="" x="22.0218" y="27.5844" rot="R270"/> <element name="IC5" library="zilog" package="DIL40" value="" x="22.0218" y="27.5844" rot="R270"/>
<element name="X1" library="con-hirschmann" package="SCART-F" value="SCART-F" x="43.9674" y="67.3608" rot="R180"> <element name="X1" library="con-hirschmann" package="SCART-F" value="SCART-F" x="43.6626" y="69.0372" rot="R180">
<attribute name="MF" value="" x="43.9674" y="67.3608" size="1.778" layer="27" rot="R180" display="off"/> <attribute name="MF" value="" x="43.6626" y="69.0372" size="1.778" layer="27" rot="R180" display="off"/>
<attribute name="MPN" value="" x="43.9674" y="67.3608" size="1.778" layer="27" rot="R180" display="off"/> <attribute name="MPN" value="" x="43.6626" y="69.0372" size="1.778" layer="27" rot="R180" display="off"/>
<attribute name="OC_FARNELL" value="unknown" x="43.9674" y="67.3608" size="1.778" layer="27" rot="R180" display="off"/> <attribute name="OC_FARNELL" value="unknown" x="43.6626" y="69.0372" size="1.778" layer="27" rot="R180" display="off"/>
<attribute name="OC_NEWARK" value="unknown" x="43.9674" y="67.3608" size="1.778" layer="27" rot="R180" display="off"/> <attribute name="OC_NEWARK" value="unknown" x="43.6626" y="69.0372" size="1.778" layer="27" rot="R180" display="off"/>
</element> </element>
<element name="U2" library="oldchips" package="DIL28-6" value="AY-3-8910" x="88.1634" y="36.2712" rot="R270"/> <element name="U2" library="oldchips" package="DIL28-6" value="AY-3-8910" x="88.6968" y="20.4978" rot="R270"/>
<element name="U$2" library="am29-memory" package="PLCC-SR32" value="AM29F040S" x="63.4746" y="41.9862"/> <element name="U$2" library="am29-memory" package="PLCC-SR32" value="AM29F040S" x="66.9036" y="29.2608" rot="R90"/>
<element name="J1" library="dp_devices" package="DC_BARREL_JACK_PTH_2MM_2" value="" x="94.5642" y="70.104" rot="R180"/>
<element name="B2" library="rectifier" package="1KAB" value="" x="82.9056" y="68.3514"/>
<element name="U$3" library="diy-modules" package="DC-DC-STEP-DOWN-LM2596" value="DC-DC-STEP-DOWN-LM2596" x="77.2668" y="50.5206" rot="R180"/>
<element name="Y1" library="crystal" package="HC49/S" value="14.000M" x="72.009" y="64.3128" rot="R180">
<attribute name="OC_FARNELL" value="1667008" x="72.009" y="64.3128" size="1.778" layer="27" rot="R180" display="off"/>
<attribute name="MF" value="" x="72.009" y="64.3128" size="1.778" layer="27" rot="R180" display="off"/>
<attribute name="OC_NEWARK" value="unknown" x="72.009" y="64.3128" size="1.778" layer="27" rot="R180" display="off"/>
<attribute name="MPN" value="" x="72.009" y="64.3128" size="1.778" layer="27" rot="R180" display="off"/>
</element>
<element name="C7" library="rcl" package="C0805K" value="22pF" x="68.5038" y="56.0832" rot="R90"/>
<element name="C8" library="rcl" package="C0805K" value="22pF" x="66.5226" y="53.1114" rot="R90"/>
<element name="R65" library="rcl" package="R0805" value="1M" x="75.5904" y="53.4162" rot="R270"/>
<element name="R56" library="rcl" package="R0805" value="2K" x="71.3994" y="51.1302"/>
<element name="U1" library="74LV" package="SOT23-6" value="SN74LVC2G04DBV" x="72.3138" y="56.1594"/>
</elements> </elements>
<signals> <signals>
<signal name="GND" class="1"> <signal name="GND" class="1">
<contactref element="U$1" pad="P$32"/> <contactref element="U$1" pad="P$32"/>
<contactref element="U$1" pad="P$33"/> <contactref element="U$1" pad="P$33"/>
<contactref element="U$1" pad="P$40"/> <contactref element="U$1" pad="P$40"/>
<contactref element="IC1" pad="1"/>
<contactref element="C1" pad="2"/>
<contactref element="C2" pad="2"/>
<polygon width="0.4064" layer="16"> <polygon width="0.4064" layer="16">
<vertex x="8.509" y="0.381"/> <vertex x="8.509" y="0.381"/>
<vertex x="8.509" y="73.279"/> <vertex x="8.509" y="73.279"/>
@ -2535,24 +2748,29 @@ Audio, scart, microphone, headphone&lt;p&gt;
<contactref element="IC5" pad="29"/> <contactref element="IC5" pad="29"/>
<contactref element="U2" pad="6"/> <contactref element="U2" pad="6"/>
<contactref element="U$2" pad="16"/> <contactref element="U$2" pad="16"/>
<wire x1="90.297" y1="59.0702" x2="83.7286" y2="68.58" width="0" layer="19" extent="1-1"/> <contactref element="C7" pad="2"/>
<wire x1="85.0138" y1="59.2074" x2="90.297" y2="59.0702" width="0" layer="19" extent="1-1"/> <contactref element="C8" pad="2"/>
<wire x1="77.4192" y1="3.7186" x2="90.297" y2="59.0702" width="0" layer="19" extent="1-1"/> <contactref element="U1" pad="2"/>
<wire x1="52.4734" y1="30.4506" x2="77.4192" y2="3.7186" width="0" layer="19" extent="1-1"/> <contactref element="U$3" pad="OUT-"/>
<wire x1="45.9734" y1="44.4256" x2="57.4548" y2="59.1566" width="0" layer="19" extent="1-1"/>
<wire x1="40.1234" y1="44.4256" x2="45.9734" y2="44.4256" width="0" layer="19" extent="1-1"/>
<wire x1="52.4734" y1="40.2006" x2="45.9734" y2="44.4256" width="0" layer="19" extent="1-1"/>
<wire x1="52.4734" y1="30.4506" x2="52.4734" y2="40.2006" width="0" layer="19" extent="1-1"/>
<wire x1="47.2734" y1="22.3256" x2="52.4734" y2="30.4506" width="0" layer="19" extent="1-1"/> <wire x1="47.2734" y1="22.3256" x2="52.4734" y2="30.4506" width="0" layer="19" extent="1-1"/>
<wire x1="44.0234" y1="22.3256" x2="47.2734" y2="22.3256" width="0" layer="19" extent="1-1"/> <wire x1="44.0234" y1="22.3256" x2="47.2734" y2="22.3256" width="0" layer="19" extent="1-1"/>
<wire x1="36.2234" y1="25.2506" x2="44.0234" y2="22.3256" width="0" layer="19" extent="1-1"/> <wire x1="36.2234" y1="25.2506" x2="44.0234" y2="22.3256" width="0" layer="19" extent="1-1"/>
<wire x1="52.4734" y1="40.2006" x2="52.4734" y2="30.4506" width="0" layer="19" extent="1-1"/> <wire x1="29.6418" y1="23.7744" x2="36.2234" y2="25.2506" width="0" layer="19" extent="1-1"/>
<wire x1="45.9734" y1="44.4256" x2="52.4734" y2="40.2006" width="0" layer="19" extent="1-1"/>
<wire x1="40.1234" y1="44.4256" x2="45.9734" y2="44.4256" width="0" layer="19" extent="1-1"/>
<wire x1="36.2234" y1="35.0006" x2="36.2234" y2="25.2506" width="0" layer="19" extent="1-1"/> <wire x1="36.2234" y1="35.0006" x2="36.2234" y2="25.2506" width="0" layer="19" extent="1-1"/>
<wire x1="4.064" y1="35.56" x2="45.9734" y2="44.4256" width="0" layer="19" extent="1-16"/> <wire x1="53.721" y1="3.7186" x2="47.2734" y2="22.3256" width="0" layer="19" extent="1-1"/>
<wire x1="4.064" y1="17.78" x2="4.064" y2="35.56" width="0" layer="19" extent="16-16"/> <wire x1="74.5236" y1="27.9908" x2="52.4734" y2="30.4506" width="0" layer="19" extent="1-1"/>
<wire x1="81.0768" y1="24.3078" x2="74.5236" y2="27.9908" width="0" layer="19" extent="1-1"/>
<wire x1="77.4192" y1="3.7186" x2="81.0768" y2="24.3078" width="0" layer="19" extent="1-1"/>
<wire x1="4.064" y1="17.78" x2="29.6418" y2="23.7744" width="0" layer="19" extent="16-16"/>
<wire x1="4.064" y1="15.24" x2="4.064" y2="17.78" width="0" layer="19" extent="16-16"/> <wire x1="4.064" y1="15.24" x2="4.064" y2="17.78" width="0" layer="19" extent="16-16"/>
<wire x1="53.721" y1="3.7186" x2="36.2234" y2="25.2506" width="0" layer="19" extent="1-1"/> <wire x1="4.064" y1="35.56" x2="4.064" y2="17.78" width="0" layer="19" extent="16-16"/>
<wire x1="29.6418" y1="23.7744" x2="44.0234" y2="22.3256" width="0" layer="19" extent="1-1"/> <wire x1="68.5038" y1="57.0832" x2="4.064" y2="15.24" width="0" layer="19" extent="1-16"/>
<wire x1="80.5434" y1="40.0812" x2="29.6418" y2="23.7744" width="0" layer="19" extent="1-1"/> <wire x1="66.5226" y1="54.1114" x2="68.5038" y2="57.0832" width="0" layer="19" extent="1-1"/>
<wire x1="62.2046" y1="34.3662" x2="4.064" y2="15.24" width="0" layer="19" extent="16-16"/> <wire x1="72.3138" y1="54.8593" x2="68.5038" y2="57.0832" width="0" layer="19" extent="1-1"/>
</signal> </signal>
<signal name="+12V" class="1"> <signal name="+12V" class="1">
<contactref element="U$1" pad="P$31"/> <contactref element="U$1" pad="P$31"/>
@ -2561,15 +2779,6 @@ Audio, scart, microphone, headphone&lt;p&gt;
</signal> </signal>
<signal name="+5V" class="1"> <signal name="+5V" class="1">
<contactref element="U$1" pad="P$30"/> <contactref element="U$1" pad="P$30"/>
<contactref element="C1" pad="1"/>
<contactref element="IC1" pad="3"/>
<wire x1="81.8286" y1="68.58" x2="85.0138" y2="63.7794" width="0" layer="19" extent="1-1"/>
<wire x1="4.064" y1="7.62" x2="85.0138" y2="63.7794" width="0" layer="19" extent="1-16"/>
</signal>
<signal name="+3V3" class="1">
<contactref element="IC1" pad="2"/>
<contactref element="C2" pad="1"/>
<wire x1="90.297" y1="60.9702" x2="85.0138" y2="61.4934" width="0" layer="19" extent="1-1"/>
</signal> </signal>
<signal name="D4"> <signal name="D4">
<contactref element="U$1" pad="P$11"/> <contactref element="U$1" pad="P$11"/>
@ -2708,12 +2917,16 @@ Audio, scart, microphone, headphone&lt;p&gt;
<contactref element="IC5" pad="11"/> <contactref element="IC5" pad="11"/>
<contactref element="U2" pad="3"/> <contactref element="U2" pad="3"/>
<contactref element="U$2" pad="32"/> <contactref element="U$2" pad="32"/>
<wire x1="14.4018" y1="26.3144" x2="80.5434" y2="47.7012" width="0" layer="19" extent="1-1"/> <contactref element="U1" pad="5"/>
<wire x1="44.6734" y1="22.3256" x2="14.4018" y2="26.3144" width="0" layer="19" extent="1-1"/> <contactref element="U$3" pad="OUT+"/>
<wire x1="42.7234" y1="44.4256" x2="44.6734" y2="22.3256" width="0" layer="19" extent="1-1"/> <wire x1="59.2836" y1="30.5308" x2="81.0768" y2="31.9278" width="0" layer="19" extent="1-1"/>
<wire x1="34.671" y1="16.5506" x2="42.7234" y2="44.4256" width="0" layer="19" extent="1-1"/> <wire x1="57.4548" y1="41.8846" x2="59.2836" y2="30.5308" width="0" layer="19" extent="1-1"/>
<wire x1="58.3692" y1="16.5506" x2="42.7234" y2="44.4256" width="0" layer="19" extent="1-1"/> <wire x1="58.3692" y1="16.5506" x2="59.2836" y2="30.5308" width="0" layer="19" extent="1-1"/>
<wire x1="64.7446" y1="49.6062" x2="34.671" y2="16.5506" width="0" layer="19" extent="1-1"/> <wire x1="44.6734" y1="22.3256" x2="58.3692" y2="16.5506" width="0" layer="19" extent="1-1"/>
<wire x1="34.671" y1="16.5506" x2="44.6734" y2="22.3256" width="0" layer="19" extent="1-1"/>
<wire x1="42.7234" y1="44.4256" x2="57.4548" y2="41.8846" width="0" layer="19" extent="1-1"/>
<wire x1="14.4018" y1="26.3144" x2="34.671" y2="16.5506" width="0" layer="19" extent="1-1"/>
<wire x1="72.3138" y1="57.4595" x2="14.4018" y2="26.3144" width="0" layer="19" extent="1-1"/>
</signal> </signal>
<signal name="VCCIO"> <signal name="VCCIO">
<contactref element="IC2" pad="5"/> <contactref element="IC2" pad="5"/>
@ -2728,6 +2941,65 @@ Audio, scart, microphone, headphone&lt;p&gt;
<wire x1="36.2234" y1="30.4506" x2="41.4234" y2="22.3256" width="0" layer="19" extent="1-1"/> <wire x1="36.2234" y1="30.4506" x2="41.4234" y2="22.3256" width="0" layer="19" extent="1-1"/>
<wire x1="36.2234" y1="40.2006" x2="36.2234" y2="30.4506" width="0" layer="19" extent="1-1"/> <wire x1="36.2234" y1="40.2006" x2="36.2234" y2="30.4506" width="0" layer="19" extent="1-1"/>
</signal> </signal>
<signal name="N$1">
<contactref element="J1" pad="P1"/>
<contactref element="B2" pad="AC1"/>
<wire x1="94.5642" y1="64.899" x2="93.2454" y2="64.899" width="0.4064" layer="16"/>
<wire x1="93.2454" y1="64.899" x2="91.821" y2="63.4746" width="0.4064" layer="16"/>
<wire x1="91.821" y1="63.4746" x2="88.9254" y2="63.4746" width="0.4064" layer="16"/>
<wire x1="88.9254" y1="63.4746" x2="87.4776" y2="64.9224" width="0.4064" layer="16"/>
<wire x1="87.4776" y1="64.9224" x2="87.4776" y2="66.8274" width="0.4064" layer="16"/>
<wire x1="87.4776" y1="66.8274" x2="86.0298" y2="68.2752" width="0.4064" layer="16"/>
<wire x1="86.0298" y1="68.2752" x2="82.8294" y2="68.2752" width="0.4064" layer="16"/>
<wire x1="82.8294" y1="68.2752" x2="80.3656" y2="65.8114" width="0.4064" layer="16"/>
</signal>
<signal name="N$2">
<contactref element="J1" pad="P3"/>
<contactref element="B2" pad="AC2"/>
<contactref element="J1" pad="P2"/>
<wire x1="94.5642" y1="70.899" x2="92.7142" y2="70.899" width="0.4064" layer="16"/>
<wire x1="92.7142" y1="70.899" x2="89.6642" y2="67.849" width="0.4064" layer="16"/>
<wire x1="85.4456" y1="70.8914" x2="86.6218" y2="70.8914" width="0.4064" layer="16"/>
<wire x1="86.6218" y1="70.8914" x2="89.6642" y2="67.849" width="0.4064" layer="16"/>
</signal>
<signal name="N$3">
<contactref element="B2" pad="+"/>
<contactref element="U$3" pad="IN+"/>
<wire x1="97.0788" y1="41.8846" x2="85.4456" y2="65.8114" width="0" layer="19" extent="1-1"/>
</signal>
<signal name="N$4">
<contactref element="B2" pad="-"/>
<contactref element="U$3" pad="IN-"/>
<wire x1="97.0788" y1="59.1566" x2="80.3656" y2="70.8914" width="0" layer="19" extent="1-1"/>
</signal>
<signal name="N$24">
<contactref element="C7" pad="1"/>
<contactref element="Y1" pad="2"/>
<contactref element="R65" pad="2"/>
<contactref element="U1" pad="3"/>
<wire x1="68.5038" y1="55.0832" x2="75.5904" y2="52.4662" width="0" layer="19" extent="1-1"/>
<wire x1="73.2638" y1="54.8593" x2="75.5904" y2="52.4662" width="0" layer="19" extent="1-1"/>
<wire x1="69.596" y1="64.3128" x2="68.5038" y2="55.0832" width="0" layer="19" extent="1-1"/>
</signal>
<signal name="N$27">
<contactref element="R56" pad="1"/>
<contactref element="U1" pad="4"/>
<contactref element="R65" pad="1"/>
<contactref element="U1" pad="1"/>
<wire x1="73.2638" y1="57.4595" x2="71.3638" y2="54.8593" width="0" layer="19" extent="1-1"/>
<wire x1="75.5904" y1="54.3662" x2="73.2638" y2="57.4595" width="0" layer="19" extent="1-1"/>
<wire x1="70.4494" y1="51.1302" x2="75.5904" y2="54.3662" width="0" layer="19" extent="1-1"/>
</signal>
<signal name="N$61">
<contactref element="C8" pad="1"/>
<contactref element="R56" pad="2"/>
<contactref element="Y1" pad="1"/>
<wire x1="72.3494" y1="51.1302" x2="66.5226" y2="52.1114" width="0" layer="19" extent="1-1"/>
<wire x1="74.422" y1="64.3128" x2="72.3494" y2="51.1302" width="0" layer="19" extent="1-1"/>
</signal>
<signal name="14MHZ">
<contactref element="U1" pad="6"/>
</signal>
</signals> </signals>
</board> </board>
</drawing> </drawing>

File diff suppressed because it is too large Load Diff

View File

@ -1,979 +0,0 @@
<?xml version="1.0" encoding="utf-8"?>
<!DOCTYPE eagle SYSTEM "eagle.dtd">
<eagle version="7.3.0">
<drawing>
<settings>
<setting alwaysvectorfont="no"/>
<setting verticaltext="up"/>
</settings>
<grid distance="0.0396875" unitdist="mm" unit="mm" style="lines" multiple="1" display="no" altdistance="0.079375" altunitdist="mm" altunit="mm"/>
<layers>
<layer number="1" name="Top" color="4" fill="1" visible="yes" active="yes"/>
<layer number="2" name="Route2" color="1" fill="3" visible="no" active="yes"/>
<layer number="3" name="Route3" color="4" fill="3" visible="no" active="yes"/>
<layer number="4" name="Route4" color="1" fill="4" visible="no" active="yes"/>
<layer number="5" name="Route5" color="4" fill="4" visible="no" active="yes"/>
<layer number="6" name="Route6" color="1" fill="8" visible="no" active="yes"/>
<layer number="7" name="Route7" color="4" fill="8" visible="no" active="yes"/>
<layer number="8" name="Route8" color="1" fill="2" visible="no" active="yes"/>
<layer number="9" name="Route9" color="4" fill="2" visible="no" active="yes"/>
<layer number="10" name="Route10" color="1" fill="7" visible="no" active="yes"/>
<layer number="11" name="Route11" color="4" fill="7" visible="no" active="yes"/>
<layer number="12" name="Route12" color="1" fill="5" visible="no" active="yes"/>
<layer number="13" name="Route13" color="4" fill="5" visible="no" active="yes"/>
<layer number="14" name="Route14" color="1" fill="6" visible="no" active="yes"/>
<layer number="15" name="Route15" color="4" fill="6" visible="no" active="yes"/>
<layer number="16" name="Bottom" color="1" fill="1" visible="yes" active="yes"/>
<layer number="17" name="Pads" color="2" fill="1" visible="yes" active="yes"/>
<layer number="18" name="Vias" color="2" fill="1" visible="yes" active="yes"/>
<layer number="19" name="Unrouted" color="6" fill="1" visible="yes" active="yes"/>
<layer number="20" name="Dimension" color="15" fill="1" visible="yes" active="yes"/>
<layer number="21" name="tPlace" color="7" fill="1" visible="yes" active="yes"/>
<layer number="22" name="bPlace" color="7" fill="1" visible="yes" active="yes"/>
<layer number="23" name="tOrigins" color="15" fill="1" visible="yes" active="yes"/>
<layer number="24" name="bOrigins" color="15" fill="1" visible="yes" active="yes"/>
<layer number="25" name="tNames" color="7" fill="1" visible="yes" active="yes"/>
<layer number="26" name="bNames" color="7" fill="1" visible="yes" active="yes"/>
<layer number="27" name="tValues" color="7" fill="1" visible="yes" active="yes"/>
<layer number="28" name="bValues" color="7" fill="1" visible="yes" active="yes"/>
<layer number="29" name="tStop" color="7" fill="3" visible="no" active="yes"/>
<layer number="30" name="bStop" color="7" fill="6" visible="no" active="yes"/>
<layer number="31" name="tCream" color="7" fill="4" visible="no" active="yes"/>
<layer number="32" name="bCream" color="7" fill="5" visible="no" active="yes"/>
<layer number="33" name="tFinish" color="6" fill="3" visible="no" active="yes"/>
<layer number="34" name="bFinish" color="6" fill="6" visible="no" active="yes"/>
<layer number="35" name="tGlue" color="7" fill="4" visible="no" active="yes"/>
<layer number="36" name="bGlue" color="7" fill="5" visible="no" active="yes"/>
<layer number="37" name="tTest" color="7" fill="1" visible="no" active="yes"/>
<layer number="38" name="bTest" color="7" fill="1" visible="no" active="yes"/>
<layer number="39" name="tKeepout" color="4" fill="11" visible="yes" active="yes"/>
<layer number="40" name="bKeepout" color="1" fill="11" visible="yes" active="yes"/>
<layer number="41" name="tRestrict" color="4" fill="10" visible="yes" active="yes"/>
<layer number="42" name="bRestrict" color="1" fill="10" visible="yes" active="yes"/>
<layer number="43" name="vRestrict" color="2" fill="10" visible="yes" active="yes"/>
<layer number="44" name="Drills" color="7" fill="1" visible="no" active="yes"/>
<layer number="45" name="Holes" color="7" fill="1" visible="yes" active="yes"/>
<layer number="46" name="Milling" color="3" fill="1" visible="yes" active="yes"/>
<layer number="47" name="Measures" color="7" fill="1" visible="no" active="yes"/>
<layer number="48" name="Document" color="7" fill="1" visible="yes" active="yes"/>
<layer number="49" name="Reference" color="7" fill="1" visible="yes" active="yes"/>
<layer number="50" name="dxf" color="7" fill="1" visible="no" active="no"/>
<layer number="51" name="tDocu" color="7" fill="1" visible="yes" active="yes"/>
<layer number="52" name="bDocu" color="7" fill="1" visible="yes" active="yes"/>
<layer number="53" name="tGND_GNDA" color="7" fill="9" visible="no" active="no"/>
<layer number="54" name="bGND_GNDA" color="1" fill="9" visible="no" active="no"/>
<layer number="56" name="wert" color="7" fill="1" visible="no" active="no"/>
<layer number="57" name="tCAD" color="7" fill="1" visible="no" active="no"/>
<layer number="90" name="Modules" color="5" fill="1" visible="no" active="no"/>
<layer number="91" name="Nets" color="2" fill="1" visible="no" active="no"/>
<layer number="92" name="Busses" color="1" fill="1" visible="no" active="no"/>
<layer number="93" name="Pins" color="2" fill="1" visible="no" active="no"/>
<layer number="94" name="Symbols" color="4" fill="1" visible="no" active="no"/>
<layer number="95" name="Names" color="7" fill="1" visible="no" active="no"/>
<layer number="96" name="Values" color="7" fill="1" visible="no" active="no"/>
<layer number="97" name="Info" color="7" fill="1" visible="no" active="no"/>
<layer number="98" name="Guide" color="6" fill="1" visible="no" active="no"/>
<layer number="99" name="SpiceOrder" color="7" fill="1" visible="no" active="no"/>
<layer number="100" name="Muster" color="7" fill="1" visible="no" active="no"/>
<layer number="101" name="Patch_Top" color="12" fill="4" visible="yes" active="yes"/>
<layer number="102" name="Vscore" color="7" fill="1" visible="yes" active="yes"/>
<layer number="103" name="tMap" color="7" fill="1" visible="yes" active="yes"/>
<layer number="104" name="Name" color="16" fill="1" visible="yes" active="yes"/>
<layer number="105" name="tPlate" color="7" fill="1" visible="yes" active="yes"/>
<layer number="106" name="bPlate" color="7" fill="1" visible="yes" active="yes"/>
<layer number="107" name="Crop" color="7" fill="1" visible="yes" active="yes"/>
<layer number="108" name="tplace-old" color="10" fill="1" visible="yes" active="yes"/>
<layer number="109" name="ref-old" color="11" fill="1" visible="yes" active="yes"/>
<layer number="110" name="fp0" color="7" fill="1" visible="yes" active="yes"/>
<layer number="111" name="LPC17xx" color="7" fill="1" visible="yes" active="yes"/>
<layer number="112" name="tSilk" color="7" fill="1" visible="yes" active="yes"/>
<layer number="113" name="ReferenceLS" color="7" fill="1" visible="no" active="no"/>
<layer number="116" name="Patch_BOT" color="9" fill="4" visible="yes" active="yes"/>
<layer number="118" name="Rect_Pads" color="7" fill="1" visible="no" active="no"/>
<layer number="121" name="_tsilk" color="7" fill="1" visible="yes" active="yes"/>
<layer number="122" name="_bsilk" color="7" fill="1" visible="yes" active="yes"/>
<layer number="123" name="tTestmark" color="7" fill="1" visible="yes" active="yes"/>
<layer number="124" name="bTestmark" color="7" fill="1" visible="yes" active="yes"/>
<layer number="125" name="_tNames" color="7" fill="1" visible="yes" active="yes"/>
<layer number="126" name="_bNames" color="7" fill="1" visible="yes" active="yes"/>
<layer number="127" name="_tValues" color="7" fill="1" visible="yes" active="yes"/>
<layer number="128" name="_bValues" color="7" fill="1" visible="yes" active="yes"/>
<layer number="130" name="tSilk" color="7" fill="1" visible="yes" active="yes"/>
<layer number="131" name="tAdjust" color="7" fill="1" visible="yes" active="yes"/>
<layer number="132" name="bAdjust" color="7" fill="1" visible="yes" active="yes"/>
<layer number="133" name="Ports" color="7" fill="1" visible="yes" active="yes"/>
<layer number="134" name="Port2" color="7" fill="1" visible="yes" active="yes"/>
<layer number="135" name="Port3" color="7" fill="1" visible="yes" active="yes"/>
<layer number="144" name="Drill_legend" color="7" fill="1" visible="yes" active="yes"/>
<layer number="150" name="Notes" color="7" fill="1" visible="yes" active="yes"/>
<layer number="151" name="HeatSink" color="7" fill="1" visible="yes" active="yes"/>
<layer number="152" name="_bDocu" color="7" fill="1" visible="yes" active="yes"/>
<layer number="153" name="FabDoc1" color="7" fill="1" visible="yes" active="yes"/>
<layer number="154" name="FabDoc2" color="7" fill="1" visible="yes" active="yes"/>
<layer number="155" name="FabDoc3" color="7" fill="1" visible="yes" active="yes"/>
<layer number="199" name="Contour" color="7" fill="1" visible="yes" active="yes"/>
<layer number="200" name="200bmp" color="1" fill="10" visible="yes" active="yes"/>
<layer number="201" name="201bmp" color="2" fill="10" visible="yes" active="yes"/>
<layer number="202" name="202bmp" color="3" fill="10" visible="yes" active="yes"/>
<layer number="203" name="203bmp" color="4" fill="10" visible="yes" active="yes"/>
<layer number="204" name="204bmp" color="5" fill="10" visible="yes" active="yes"/>
<layer number="205" name="205bmp" color="6" fill="10" visible="yes" active="yes"/>
<layer number="206" name="206bmp" color="7" fill="10" visible="yes" active="yes"/>
<layer number="207" name="207bmp" color="8" fill="10" visible="yes" active="yes"/>
<layer number="208" name="208bmp" color="9" fill="10" visible="yes" active="yes"/>
<layer number="209" name="209bmp" color="7" fill="1" visible="yes" active="yes"/>
<layer number="210" name="210bmp" color="7" fill="1" visible="yes" active="yes"/>
<layer number="211" name="211bmp" color="7" fill="1" visible="yes" active="yes"/>
<layer number="212" name="212bmp" color="7" fill="1" visible="yes" active="yes"/>
<layer number="213" name="213bmp" color="7" fill="1" visible="yes" active="yes"/>
<layer number="214" name="214bmp" color="7" fill="1" visible="yes" active="yes"/>
<layer number="215" name="215bmp" color="7" fill="1" visible="yes" active="yes"/>
<layer number="216" name="216bmp" color="7" fill="1" visible="yes" active="yes"/>
<layer number="217" name="217bmp" color="18" fill="1" visible="no" active="no"/>
<layer number="218" name="218bmp" color="19" fill="1" visible="no" active="no"/>
<layer number="219" name="219bmp" color="20" fill="1" visible="no" active="no"/>
<layer number="220" name="220bmp" color="21" fill="1" visible="no" active="no"/>
<layer number="221" name="221bmp" color="22" fill="1" visible="no" active="no"/>
<layer number="222" name="222bmp" color="23" fill="1" visible="no" active="no"/>
<layer number="223" name="223bmp" color="24" fill="1" visible="no" active="no"/>
<layer number="224" name="224bmp" color="25" fill="1" visible="no" active="no"/>
<layer number="231" name="Eagle3D_PG1" color="7" fill="1" visible="no" active="no"/>
<layer number="232" name="Eagle3D_PG2" color="7" fill="1" visible="no" active="no"/>
<layer number="233" name="Eagle3D_PG3" color="7" fill="1" visible="no" active="no"/>
<layer number="248" name="Housing" color="7" fill="1" visible="yes" active="yes"/>
<layer number="249" name="Edge" color="7" fill="1" visible="yes" active="yes"/>
<layer number="250" name="Descript" color="3" fill="1" visible="no" active="no"/>
<layer number="251" name="SMDround" color="12" fill="11" visible="no" active="no"/>
<layer number="254" name="cooling" color="7" fill="1" visible="yes" active="yes"/>
</layers>
<board>
<plain>
<wire x1="32.54375" y1="0" x2="32.464375" y2="33.09375" width="0" layer="46"/>
<wire x1="32.464375" y1="33.09375" x2="-0.0340625" y2="33.09375" width="0" layer="46"/>
<wire x1="-0.0340625" y1="33.09375" x2="0.005625" y2="0" width="0" layer="46"/>
<wire x1="0.005625" y1="0" x2="32.54375" y2="0" width="0" layer="46"/>
</plain>
<libraries>
<library name="con-subd">
<description>&lt;b&gt;SUB-D Connectors&lt;/b&gt;&lt;p&gt;
Harting&lt;br&gt;
NorComp&lt;br&gt;
&lt;p&gt;
PREFIX :&lt;br&gt;
H = High density&lt;br&gt;
F = Female&lt;br&gt;
M = Male&lt;p&gt;
NUMBER: Number of pins&lt;p&gt;
SUFFIX :&lt;br&gt;
H = Horizontal&lt;br&gt;
V = Vertical &lt;br&gt;
P = Shield pin on housing&lt;br&gt;
B = No mounting holes&lt;br&gt;
S = Pins individually placeable (Single)&lt;br&gt;
D = Direct mounting &lt;p&gt;
&lt;author&gt;Created by librarian@cadsoft.de&lt;/author&gt;</description>
<packages>
<package name="F09D">
<description>&lt;b&gt;SUB-D&lt;/b&gt;</description>
<wire x1="-8.128" y1="7.239" x2="-7.62" y2="7.747" width="0.1524" layer="21" curve="-90"/>
<wire x1="7.62" y1="7.747" x2="8.128" y2="7.239" width="0.1524" layer="21" curve="-90"/>
<wire x1="-10.414" y1="0.508" x2="-10.414" y2="1.016" width="0.1524" layer="21"/>
<wire x1="-10.414" y1="0.508" x2="-10.287" y2="0.508" width="0.1524" layer="21"/>
<wire x1="-10.287" y1="-1.905" x2="-9.652" y2="-2.54" width="0.1524" layer="21" curve="90"/>
<wire x1="-10.287" y1="-1.905" x2="-10.287" y2="0.508" width="0.1524" layer="21"/>
<wire x1="-9.671" y1="-2.54" x2="9.671" y2="-2.54" width="0.1524" layer="21"/>
<wire x1="10.414" y1="0.508" x2="10.414" y2="1.016" width="0.1524" layer="21"/>
<wire x1="10.287" y1="-1.905" x2="10.287" y2="0.508" width="0.1524" layer="21"/>
<wire x1="10.287" y1="0.508" x2="10.414" y2="0.508" width="0.1524" layer="21"/>
<wire x1="9.652" y1="-2.54" x2="10.287" y2="-1.905" width="0.1524" layer="21" curve="90"/>
<wire x1="-8.128" y1="1.959" x2="-8.128" y2="7.239" width="0.1524" layer="21"/>
<wire x1="-8.763" y1="1.324" x2="-8.128" y2="1.959" width="0.1524" layer="21" curve="90"/>
<wire x1="8.128" y1="1.959" x2="8.128" y2="7.239" width="0.1524" layer="21"/>
<wire x1="8.128" y1="1.959" x2="8.763" y2="1.324" width="0.1524" layer="21" curve="90"/>
<wire x1="-7.62" y1="7.747" x2="7.62" y2="7.747" width="0.1524" layer="21"/>
<wire x1="-16.4592" y1="-2.7432" x2="-15.0876" y2="-4.1148" width="0" layer="48"/>
<wire x1="-15.0876" y1="-4.1148" x2="-13.716" y2="-2.7432" width="0" layer="48"/>
<wire x1="-13.716" y1="-2.7432" x2="-12.3444" y2="-4.1148" width="0" layer="48"/>
<wire x1="-12.3444" y1="-4.1148" x2="-10.9728" y2="-2.7432" width="0" layer="48"/>
<wire x1="10.9728" y1="-2.7432" x2="12.3444" y2="-4.1148" width="0" layer="48"/>
<wire x1="12.3444" y1="-4.1148" x2="13.716" y2="-2.7432" width="0" layer="48"/>
<wire x1="13.716" y1="-2.7432" x2="15.0876" y2="-4.1148" width="0" layer="48"/>
<wire x1="15.0876" y1="-4.1148" x2="16.4592" y2="-2.7432" width="0" layer="48"/>
<wire x1="-17.018" y1="-4.2418" x2="-10.0076" y2="-4.2418" width="0" layer="48"/>
<wire x1="10.922" y1="-4.2418" x2="17.9324" y2="-4.2418" width="0" layer="48"/>
<smd name="1" x="-5.4862" y="-6.0448" dx="1.7" dy="3.5" layer="1"/>
<smd name="2" x="-2.7434" y="-6.0448" dx="1.7" dy="3.5" layer="1"/>
<smd name="3" x="0" y="-6.045" dx="1.7" dy="3.5" layer="1"/>
<smd name="4" x="2.7434" y="-6.0448" dx="1.7" dy="3.5" layer="1"/>
<smd name="5" x="5.4862" y="-6.0448" dx="1.7" dy="3.5" layer="1"/>
<smd name="6" x="-4.1148" y="-6.0452" dx="1.7" dy="3.5" layer="16"/>
<smd name="7" x="-1.3716" y="-6.0452" dx="1.7" dy="3.5" layer="16"/>
<smd name="8" x="1.3716" y="-6.0452" dx="1.7" dy="3.5" layer="16"/>
<smd name="9" x="4.1148" y="-6.0452" dx="1.7" dy="3.5" layer="16"/>
<text x="-8.89" y="-10.16" size="1.778" layer="25" ratio="10">&gt;NAME</text>
<text x="1.27" y="-10.16" size="1.778" layer="27" ratio="10">&gt;VALUE</text>
<text x="-5.92" y="-2.1786" size="1.27" layer="21" ratio="10">1</text>
<text x="5.085" y="-2.1786" size="1.27" layer="21" ratio="10">5</text>
<text x="4.5418" y="-2.1966" size="1.27" layer="22" ratio="10" rot="MR0">9</text>
<text x="-3.5768" y="-2.1966" size="1.27" layer="22" ratio="10" rot="MR0">6</text>
<text x="11.43" y="-2.54" size="1.27" layer="48">Board</text>
<text x="-7.62" y="5.969" size="1.27" layer="51" ratio="10">F09D</text>
<text x="-16.51" y="-2.54" size="1.27" layer="48">Board </text>
<rectangle x1="-15.494" y1="0.916" x2="15.494" y2="1.424" layer="21"/>
<rectangle x1="-6.0864" y1="-6.56" x2="-4.8864" y2="-4.06" layer="51"/>
<rectangle x1="-4.7148" y1="-6.56" x2="-3.5148" y2="-4.06" layer="52"/>
<rectangle x1="-1.9716" y1="-6.56" x2="-0.7716" y2="-4.06" layer="52"/>
<rectangle x1="0.7716" y1="-6.56" x2="1.9716" y2="-4.06" layer="52"/>
<rectangle x1="-3.3432" y1="-6.56" x2="-2.1432" y2="-4.06" layer="51"/>
<rectangle x1="-0.6" y1="-6.56" x2="0.6" y2="-4.06" layer="51"/>
<rectangle x1="2.1432" y1="-6.56" x2="3.3432" y2="-4.06" layer="51"/>
<rectangle x1="4.8864" y1="-6.56" x2="6.0864" y2="-4.06" layer="51"/>
<rectangle x1="3.5148" y1="-6.56" x2="4.7148" y2="-4.06" layer="52"/>
<rectangle x1="-9.2" y1="-4.16" x2="9.2" y2="-2.56" layer="21"/>
</package>
</packages>
</library>
<library name="74xx-eu">
<description>&lt;b&gt;TTL Devices, 74xx Series with European Symbols&lt;/b&gt;&lt;p&gt;
Based on the following sources:
&lt;ul&gt;
&lt;li&gt;Texas Instruments &lt;i&gt;TTL Data Book&lt;/i&gt;&amp;nbsp;&amp;nbsp;&amp;nbsp;Volume 1, 1996.
&lt;li&gt;TTL Data Book, Volume 2 , 1993
&lt;li&gt;National Seminconductor Databook 1990, ALS/LS Logic
&lt;li&gt;ttl 74er digital data dictionary, ECA Electronic + Acustic GmbH, ISBN 3-88109-032-0
&lt;li&gt;http://icmaster.com/ViewCompare.asp
&lt;/ul&gt;
&lt;author&gt;Created by librarian@cadsoft.de&lt;/author&gt;</description>
<packages>
<package name="DIL16NUEVO">
<description>&lt;b&gt;Dual In Line Package&lt;/b&gt;</description>
<wire x1="10.16" y1="2.921" x2="-10.16" y2="2.921" width="0.1524" layer="21"/>
<wire x1="-10.16" y1="-2.921" x2="10.16" y2="-2.921" width="0.1524" layer="21"/>
<wire x1="10.16" y1="2.921" x2="10.16" y2="-2.921" width="0.1524" layer="21"/>
<wire x1="-10.16" y1="2.921" x2="-10.16" y2="1.016" width="0.1524" layer="21"/>
<wire x1="-10.16" y1="-2.921" x2="-10.16" y2="-1.016" width="0.1524" layer="21"/>
<wire x1="-10.16" y1="1.016" x2="-10.16" y2="-1.016" width="0.1524" layer="21" curve="-180"/>
<pad name="1" x="-8.89" y="-3.81" drill="0.8128" shape="long" rot="R90"/>
<pad name="2" x="-6.35" y="-3.81" drill="0.8128" shape="long" rot="R90"/>
<pad name="7" x="6.35" y="-3.81" drill="0.8128" shape="long" rot="R90"/>
<pad name="8" x="8.89" y="-3.81" drill="0.8128" shape="long" rot="R90"/>
<pad name="3" x="-3.81" y="-3.81" drill="0.8128" shape="long" rot="R90"/>
<pad name="4" x="-1.27" y="-3.81" drill="0.8128" shape="long" rot="R90"/>
<pad name="6" x="3.81" y="-3.81" drill="0.8128" shape="long" rot="R90"/>
<pad name="5" x="1.27" y="-3.81" drill="0.8128" shape="long" rot="R90"/>
<pad name="9" x="8.89" y="3.81" drill="0.8128" shape="long" rot="R90"/>
<pad name="10" x="6.35" y="3.81" drill="0.8128" shape="long" rot="R90"/>
<pad name="11" x="3.81" y="3.81" drill="0.8128" shape="long" rot="R90"/>
<pad name="12" x="1.27" y="3.81" drill="0.8128" shape="long" rot="R90"/>
<pad name="13" x="-1.27" y="3.81" drill="0.8128" shape="long" rot="R90"/>
<pad name="14" x="-3.81" y="3.81" drill="0.8128" shape="long" rot="R90"/>
<pad name="15" x="-6.35" y="3.81" drill="0.8128" shape="long" rot="R90"/>
<pad name="16" x="-8.89" y="3.81" drill="0.8128" shape="long" rot="R90"/>
<text x="-10.541" y="-2.921" size="1.27" layer="25" ratio="10" rot="R90">&gt;NAME</text>
<text x="-7.493" y="-0.635" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
</package>
</packages>
</library>
<library name="resistor-sil">
<description>&lt;b&gt;Resistors in Single Inline Packages&lt;/b&gt;&lt;p&gt;
&lt;author&gt;Created by librarian@cadsoft.de&lt;/author&gt;</description>
<packages>
<package name="SIL7">
<description>&lt;b&gt;Single In Line&lt;/b&gt;</description>
<wire x1="-8.382" y1="-1.016" x2="8.382" y2="-1.016" width="0.1524" layer="21"/>
<wire x1="8.382" y1="1.016" x2="-8.382" y2="1.016" width="0.1524" layer="21"/>
<wire x1="-8.382" y1="0.762" x2="-6.858" y2="0.762" width="0.1524" layer="51"/>
<wire x1="-6.858" y1="0.762" x2="-6.858" y2="-0.762" width="0.1524" layer="51"/>
<wire x1="-6.858" y1="-0.762" x2="-8.382" y2="-0.762" width="0.1524" layer="51"/>
<wire x1="-8.382" y1="-0.762" x2="-8.382" y2="0.762" width="0.1524" layer="51"/>
<wire x1="-6.731" y1="0.889" x2="-6.731" y2="-0.889" width="0.1524" layer="21"/>
<wire x1="-6.731" y1="-0.889" x2="-8.509" y2="-0.889" width="0.1524" layer="21"/>
<wire x1="-8.89" y1="0.508" x2="-8.382" y2="1.016" width="0.1524" layer="21" curve="-90"/>
<wire x1="8.382" y1="-1.016" x2="8.89" y2="-0.508" width="0.1524" layer="21" curve="90"/>
<wire x1="8.382" y1="1.016" x2="8.89" y2="0.508" width="0.1524" layer="21" curve="-90"/>
<wire x1="-8.89" y1="-0.508" x2="-8.382" y2="-1.016" width="0.1524" layer="21" curve="90"/>
<wire x1="8.89" y1="-0.508" x2="8.89" y2="0.508" width="0.1524" layer="21"/>
<wire x1="-8.89" y1="0.508" x2="-8.89" y2="-0.508" width="0.1524" layer="21"/>
<wire x1="-6.731" y1="0.889" x2="-8.509" y2="0.889" width="0.1524" layer="21"/>
<wire x1="-8.509" y1="0.889" x2="-8.509" y2="-0.889" width="0.1524" layer="21"/>
<wire x1="-1.778" y1="0" x2="-1.143" y2="0" width="0.1524" layer="51"/>
<wire x1="1.778" y1="0" x2="1.143" y2="0" width="0.1524" layer="51"/>
<wire x1="-1.143" y1="0.381" x2="1.143" y2="0.381" width="0.1524" layer="51"/>
<wire x1="1.143" y1="0.381" x2="1.143" y2="0" width="0.1524" layer="51"/>
<wire x1="1.143" y1="0" x2="1.143" y2="-0.381" width="0.1524" layer="51"/>
<wire x1="1.143" y1="-0.381" x2="-1.143" y2="-0.381" width="0.1524" layer="51"/>
<wire x1="-1.143" y1="-0.381" x2="-1.143" y2="0" width="0.1524" layer="51"/>
<wire x1="-1.143" y1="0" x2="-1.143" y2="0.381" width="0.1524" layer="51"/>
<pad name="1" x="-7.62" y="0" drill="0.8128" shape="long" rot="R90"/>
<pad name="2" x="-5.08" y="0" drill="0.8128" shape="long" rot="R90"/>
<pad name="3" x="-2.54" y="0" drill="0.8128" shape="long" rot="R90"/>
<pad name="4" x="0" y="0" drill="0.8128" shape="long" rot="R90"/>
<pad name="5" x="2.54" y="0" drill="0.8128" shape="long" rot="R90"/>
<pad name="6" x="5.08" y="0" drill="0.8128" shape="long" rot="R90"/>
<pad name="7" x="7.62" y="0" drill="0.8128" shape="long" rot="R90"/>
<text x="-8.8646" y="1.27" size="1.27" layer="25" ratio="10">&gt;NAME</text>
<text x="-1.905" y="1.27" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
<text x="-9.906" y="-0.508" size="0.9906" layer="21" ratio="12">1</text>
</package>
</packages>
</library>
<library name="led">
<description>&lt;b&gt;LEDs&lt;/b&gt;&lt;p&gt;
&lt;author&gt;Created by librarian@cadsoft.de&lt;/author&gt;&lt;br&gt;
Extended by Federico Battaglin &lt;author&gt;&amp;lt;federico.rd@fdpinternational.com&amp;gt;&lt;/author&gt; with DUOLED</description>
<packages>
<package name="LED3MM">
<description>&lt;B&gt;LED&lt;/B&gt;&lt;p&gt;
3 mm, round</description>
<wire x1="1.5748" y1="-1.27" x2="1.5748" y2="1.27" width="0.254" layer="51"/>
<wire x1="-1.524" y1="0" x2="-1.1708" y2="0.9756" width="0.1524" layer="51" curve="-39.80361"/>
<wire x1="-1.524" y1="0" x2="-1.1391" y2="-1.0125" width="0.1524" layer="51" curve="41.633208"/>
<wire x1="1.1571" y1="0.9918" x2="1.524" y2="0" width="0.1524" layer="51" curve="-40.601165"/>
<wire x1="1.1708" y1="-0.9756" x2="1.524" y2="0" width="0.1524" layer="51" curve="39.80361"/>
<wire x1="0" y1="1.524" x2="1.2401" y2="0.8858" width="0.1524" layer="21" curve="-54.461337"/>
<wire x1="-1.2192" y1="0.9144" x2="0" y2="1.524" width="0.1524" layer="21" curve="-53.130102"/>
<wire x1="0" y1="-1.524" x2="1.203" y2="-0.9356" width="0.1524" layer="21" curve="52.126876"/>
<wire x1="-1.203" y1="-0.9356" x2="0" y2="-1.524" width="0.1524" layer="21" curve="52.126876"/>
<wire x1="-0.635" y1="0" x2="0" y2="0.635" width="0.1524" layer="51" curve="-90"/>
<wire x1="-1.016" y1="0" x2="0" y2="1.016" width="0.1524" layer="51" curve="-90"/>
<wire x1="0" y1="-0.635" x2="0.635" y2="0" width="0.1524" layer="51" curve="90"/>
<wire x1="0" y1="-1.016" x2="1.016" y2="0" width="0.1524" layer="51" curve="90"/>
<wire x1="0" y1="2.032" x2="1.561" y2="1.3009" width="0.254" layer="21" curve="-50.193108"/>
<wire x1="-1.7929" y1="0.9562" x2="0" y2="2.032" width="0.254" layer="21" curve="-61.926949"/>
<wire x1="0" y1="-2.032" x2="1.5512" y2="-1.3126" width="0.254" layer="21" curve="49.763022"/>
<wire x1="-1.7643" y1="-1.0082" x2="0" y2="-2.032" width="0.254" layer="21" curve="60.255215"/>
<wire x1="-2.032" y1="0" x2="-1.7891" y2="0.9634" width="0.254" layer="51" curve="-28.301701"/>
<wire x1="-2.032" y1="0" x2="-1.7306" y2="-1.065" width="0.254" layer="51" curve="31.60822"/>
<pad name="A" x="-1.27" y="0" drill="0.8128" shape="octagon"/>
<pad name="K" x="1.27" y="0" drill="0.8128" shape="octagon"/>
<text x="1.905" y="0.381" size="1.27" layer="25" ratio="10">&gt;NAME</text>
<text x="1.905" y="-1.651" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
</package>
</packages>
</library>
</libraries>
<attributes>
</attributes>
<variantdefs>
</variantdefs>
<classes>
<class number="0" name="default" width="0" drill="0">
</class>
</classes>
<designrules name="default">
<description language="de">&lt;b&gt;EAGLE Design Rules&lt;/b&gt;
&lt;p&gt;
Die Standard-Design-Rules sind so gewählt, dass sie für
die meisten Anwendungen passen. Sollte ihre Platine
besondere Anforderungen haben, treffen Sie die erforderlichen
Einstellungen hier und speichern die Design Rules unter
einem neuen Namen ab.</description>
<description language="en">&lt;b&gt;EAGLE Design Rules&lt;/b&gt;
&lt;p&gt;
The default Design Rules have been set to cover
a wide range of applications. Your particular design
may have different requirements, so please make the
necessary adjustments and save your customized
design rules under a new name.</description>
<param name="layerSetup" value="(1*16)"/>
<param name="mtCopper" value="0.035mm 0.035mm 0.035mm 0.035mm 0.035mm 0.035mm 0.035mm 0.035mm 0.035mm 0.035mm 0.035mm 0.035mm 0.035mm 0.035mm 0.035mm 0.035mm"/>
<param name="mtIsolate" value="1.5mm 0.15mm 0.2mm 0.15mm 0.2mm 0.15mm 0.2mm 0.15mm 0.2mm 0.15mm 0.2mm 0.15mm 0.2mm 0.15mm 0.2mm"/>
<param name="mdWireWire" value="8mil"/>
<param name="mdWirePad" value="8mil"/>
<param name="mdWireVia" value="8mil"/>
<param name="mdPadPad" value="8mil"/>
<param name="mdPadVia" value="8mil"/>
<param name="mdViaVia" value="8mil"/>
<param name="mdSmdPad" value="8mil"/>
<param name="mdSmdVia" value="8mil"/>
<param name="mdSmdSmd" value="8mil"/>
<param name="mdViaViaSameLayer" value="8mil"/>
<param name="mnLayersViaInSmd" value="2"/>
<param name="mdCopperDimension" value="40mil"/>
<param name="mdDrill" value="8mil"/>
<param name="mdSmdStop" value="0mil"/>
<param name="msWidth" value="10mil"/>
<param name="msDrill" value="24mil"/>
<param name="msMicroVia" value="9.99mm"/>
<param name="msBlindViaRatio" value="0.5"/>
<param name="rvPadTop" value="0.25"/>
<param name="rvPadInner" value="0.25"/>
<param name="rvPadBottom" value="0.25"/>
<param name="rvViaOuter" value="0.25"/>
<param name="rvViaInner" value="0.25"/>
<param name="rvMicroViaOuter" value="0.25"/>
<param name="rvMicroViaInner" value="0.25"/>
<param name="rlMinPadTop" value="10mil"/>
<param name="rlMaxPadTop" value="20mil"/>
<param name="rlMinPadInner" value="10mil"/>
<param name="rlMaxPadInner" value="20mil"/>
<param name="rlMinPadBottom" value="10mil"/>
<param name="rlMaxPadBottom" value="20mil"/>
<param name="rlMinViaOuter" value="8mil"/>
<param name="rlMaxViaOuter" value="20mil"/>
<param name="rlMinViaInner" value="8mil"/>
<param name="rlMaxViaInner" value="20mil"/>
<param name="rlMinMicroViaOuter" value="4mil"/>
<param name="rlMaxMicroViaOuter" value="20mil"/>
<param name="rlMinMicroViaInner" value="4mil"/>
<param name="rlMaxMicroViaInner" value="20mil"/>
<param name="psTop" value="-1"/>
<param name="psBottom" value="-1"/>
<param name="psFirst" value="-1"/>
<param name="psElongationLong" value="100"/>
<param name="psElongationOffset" value="100"/>
<param name="mvStopFrame" value="1"/>
<param name="mvCreamFrame" value="0"/>
<param name="mlMinStopFrame" value="4mil"/>
<param name="mlMaxStopFrame" value="4mil"/>
<param name="mlMinCreamFrame" value="0mil"/>
<param name="mlMaxCreamFrame" value="0mil"/>
<param name="mlViaStopLimit" value="0mil"/>
<param name="srRoundness" value="0"/>
<param name="srMinRoundness" value="0mil"/>
<param name="srMaxRoundness" value="0mil"/>
<param name="slThermalIsolate" value="10mil"/>
<param name="slThermalsForVias" value="0"/>
<param name="dpMaxLengthDifference" value="10mm"/>
<param name="dpGapFactor" value="2.5"/>
<param name="checkGrid" value="0"/>
<param name="checkAngle" value="0"/>
<param name="checkFont" value="1"/>
<param name="checkRestrict" value="1"/>
<param name="useDiameter" value="13"/>
<param name="maxErrors" value="50"/>
</designrules>
<autorouter>
<pass name="Default">
<param name="RoutingGrid" value="50mil"/>
<param name="AutoGrid" value="1"/>
<param name="Efforts" value="0"/>
<param name="TopRouterVariant" value="1"/>
<param name="tpViaShape" value="round"/>
<param name="PrefDir.1" value="|"/>
<param name="PrefDir.2" value="0"/>
<param name="PrefDir.3" value="0"/>
<param name="PrefDir.4" value="0"/>
<param name="PrefDir.5" value="0"/>
<param name="PrefDir.6" value="0"/>
<param name="PrefDir.7" value="0"/>
<param name="PrefDir.8" value="0"/>
<param name="PrefDir.9" value="0"/>
<param name="PrefDir.10" value="0"/>
<param name="PrefDir.11" value="0"/>
<param name="PrefDir.12" value="0"/>
<param name="PrefDir.13" value="0"/>
<param name="PrefDir.14" value="0"/>
<param name="PrefDir.15" value="0"/>
<param name="PrefDir.16" value="-"/>
<param name="cfVia" value="8"/>
<param name="cfNonPref" value="5"/>
<param name="cfChangeDir" value="2"/>
<param name="cfOrthStep" value="2"/>
<param name="cfDiagStep" value="3"/>
<param name="cfExtdStep" value="0"/>
<param name="cfBonusStep" value="1"/>
<param name="cfMalusStep" value="1"/>
<param name="cfPadImpact" value="4"/>
<param name="cfSmdImpact" value="4"/>
<param name="cfBusImpact" value="0"/>
<param name="cfHugging" value="3"/>
<param name="cfAvoid" value="4"/>
<param name="cfPolygon" value="10"/>
<param name="cfBase.1" value="0"/>
<param name="cfBase.2" value="1"/>
<param name="cfBase.3" value="1"/>
<param name="cfBase.4" value="1"/>
<param name="cfBase.5" value="1"/>
<param name="cfBase.6" value="1"/>
<param name="cfBase.7" value="1"/>
<param name="cfBase.8" value="1"/>
<param name="cfBase.9" value="1"/>
<param name="cfBase.10" value="1"/>
<param name="cfBase.11" value="1"/>
<param name="cfBase.12" value="1"/>
<param name="cfBase.13" value="1"/>
<param name="cfBase.14" value="1"/>
<param name="cfBase.15" value="1"/>
<param name="cfBase.16" value="0"/>
<param name="mnVias" value="20"/>
<param name="mnSegments" value="9999"/>
<param name="mnExtdSteps" value="9999"/>
<param name="mnRipupLevel" value="10"/>
<param name="mnRipupSteps" value="100"/>
<param name="mnRipupTotal" value="100"/>
</pass>
<pass name="Follow-me" refer="Default" active="yes">
</pass>
<pass name="Busses" refer="Default" active="yes">
<param name="cfNonPref" value="4"/>
<param name="cfBusImpact" value="4"/>
<param name="cfHugging" value="0"/>
<param name="mnVias" value="0"/>
</pass>
<pass name="Route" refer="Default" active="yes">
</pass>
<pass name="Optimize1" refer="Default" active="yes">
<param name="cfVia" value="99"/>
<param name="cfExtdStep" value="10"/>
<param name="cfHugging" value="1"/>
<param name="mnExtdSteps" value="1"/>
<param name="mnRipupLevel" value="0"/>
</pass>
<pass name="Optimize2" refer="Optimize1" active="yes">
<param name="cfNonPref" value="0"/>
<param name="cfChangeDir" value="6"/>
<param name="cfExtdStep" value="0"/>
<param name="cfBonusStep" value="2"/>
<param name="cfMalusStep" value="2"/>
<param name="cfPadImpact" value="2"/>
<param name="cfSmdImpact" value="2"/>
<param name="cfHugging" value="0"/>
</pass>
<pass name="Optimize3" refer="Optimize2" active="yes">
<param name="cfChangeDir" value="8"/>
<param name="cfPadImpact" value="0"/>
<param name="cfSmdImpact" value="0"/>
</pass>
<pass name="Optimize4" refer="Optimize3" active="yes">
<param name="cfChangeDir" value="25"/>
</pass>
</autorouter>
<elements>
<element name="X1" library="con-subd" package="F09D" value="male" x="36.750625" y="6.5484375" smashed="yes" rot="R270">
<attribute name="MF" value="" x="36.750625" y="6.5484375" size="1.778" layer="27" rot="R270" display="off"/>
<attribute name="OC_FARNELL" value="unknown" x="36.750625" y="6.5484375" size="1.778" layer="27" rot="R270" display="off"/>
<attribute name="OC_NEWARK" value="unknown" x="36.750625" y="6.5484375" size="1.778" layer="27" rot="R270" display="off"/>
<attribute name="MPN" value="" x="36.750625" y="6.5484375" size="1.778" layer="27" rot="R270" display="off"/>
<attribute name="VALUE" x="26.590625" y="8.1359375" size="1.778" layer="27" ratio="10" rot="R270"/>
</element>
<element name="X2" library="con-subd" package="F09D" value="male" x="15.954375" y="37.3459375" smashed="yes">
<attribute name="MF" value="" x="15.954375" y="37.3459375" size="1.778" layer="27" display="off"/>
<attribute name="OC_FARNELL" value="unknown" x="15.954375" y="37.3459375" size="1.778" layer="27" display="off"/>
<attribute name="OC_NEWARK" value="unknown" x="15.954375" y="37.3459375" size="1.778" layer="27" display="off"/>
<attribute name="MPN" value="" x="15.954375" y="37.3459375" size="1.778" layer="27" display="off"/>
<attribute name="VALUE" x="13.97" y="28.178125" size="1.778" layer="27" ratio="10"/>
</element>
<element name="X3" library="con-subd" package="F09D" value="female" x="-4.206875" y="6.3896875" smashed="yes" rot="R90">
<attribute name="MF" value="" x="-4.206875" y="6.3896875" size="1.778" layer="27" rot="R90" display="off"/>
<attribute name="OC_FARNELL" value="unknown" x="-4.206875" y="6.3896875" size="1.778" layer="27" rot="R90" display="off"/>
<attribute name="OC_NEWARK" value="unknown" x="-4.206875" y="6.3896875" size="1.778" layer="27" rot="R90" display="off"/>
<attribute name="MPN" value="" x="-4.206875" y="6.3896875" size="1.778" layer="27" rot="R90" display="off"/>
<attribute name="VALUE" x="5.953125" y="7.6596875" size="1.778" layer="27" ratio="10" rot="R90"/>
</element>
<element name="IC2" library="74xx-eu" package="DIL16NUEVO" value="74HC157N" x="10.5965625" y="13.731875" rot="R270"/>
<element name="IC1" library="74xx-eu" package="DIL16NUEVO" value="74HC157N" x="22.1853125" y="13.731875" rot="R270"/>
<element name="RN1" library="resistor-sil" package="SIL7" value="" x="30.0434375" y="23.495" rot="R270">
<attribute name="MF" value="" x="30.0434375" y="23.495" size="1.778" layer="27" rot="R270" display="off"/>
<attribute name="MPN" value="" x="30.0434375" y="23.495" size="1.778" layer="27" rot="R270" display="off"/>
<attribute name="OC_NEWARK" value="unknown" x="30.0434375" y="23.495" size="1.778" layer="27" rot="R270" display="off"/>
<attribute name="OC_FARNELL" value="unknown" x="30.0434375" y="23.495" size="1.778" layer="27" rot="R270" display="off"/>
</element>
<element name="RN2" library="resistor-sil" package="SIL7" value="" x="2.4209375" y="23.3759375" rot="R270">
<attribute name="MF" value="" x="2.4209375" y="23.3759375" size="1.778" layer="27" rot="R270" display="off"/>
<attribute name="MPN" value="" x="2.4209375" y="23.3759375" size="1.778" layer="27" rot="R270" display="off"/>
<attribute name="OC_NEWARK" value="unknown" x="2.4209375" y="23.3759375" size="1.778" layer="27" rot="R270" display="off"/>
<attribute name="OC_FARNELL" value="unknown" x="2.4209375" y="23.3759375" size="1.778" layer="27" rot="R270" display="off"/>
</element>
<element name="LED1" library="led" package="LED3MM" value="" x="15.39875" y="1.7859375"/>
</elements>
<signals>
<signal name="GND">
<contactref element="X3" pad="8"/>
<contactref element="IC2" pad="8"/>
<contactref element="IC1" pad="8"/>
<contactref element="X1" pad="7"/>
<contactref element="X2" pad="7"/>
<contactref element="IC1" pad="15"/>
<contactref element="IC2" pad="15"/>
<wire x1="14.582775" y1="31.3007375" x2="14.582775" y2="26.8859" width="0.4064" layer="16"/>
<via x="13.6128125" y="25.9159375" extent="1-16" drill="0.4"/>
<wire x1="12.3428125" y1="21.43125" x2="13.6921875" y2="20.081875" width="0.4064" layer="1"/>
<wire x1="25.9953125" y1="20.081875" x2="25.3603125" y2="20.081875" width="0.4064" layer="16"/>
<wire x1="25.3603125" y1="20.081875" x2="24.050625" y2="21.3915625" width="0.4064" layer="16"/>
<wire x1="24.050625" y1="21.3915625" x2="17.224375" y2="21.3915625" width="0.4064" layer="16"/>
<wire x1="17.224375" y1="21.3915625" x2="15.9146875" y2="20.081875" width="0.4064" layer="16"/>
<wire x1="15.9146875" y1="20.081875" x2="14.4065625" y2="20.081875" width="0.4064" layer="16"/>
<wire x1="1.838325" y1="7.7612875" x2="3.86715" y2="7.7612875" width="0.4064" layer="16"/>
<wire x1="3.86715" y1="7.7612875" x2="6.7865625" y2="4.841875" width="0.4064" layer="16"/>
<wire x1="30.705425" y1="7.9200375" x2="29.1480875" y2="7.9200375" width="0.4064" layer="16"/>
<wire x1="29.1480875" y1="7.9200375" x2="28.41625" y2="8.6915625" width="0.4064" layer="16"/>
<wire x1="28.41625" y1="8.6915625" x2="28.41625" y2="10.5171875" width="0.4064" layer="16"/>
<wire x1="12.3428125" y1="21.43125" x2="12.3428125" y2="24.6459375" width="0.4064" layer="1"/>
<wire x1="12.3428125" y1="24.6459375" x2="13.6128125" y2="25.9159375" width="0.4064" layer="1"/>
<wire x1="14.582775" y1="26.8859" x2="13.6128125" y2="25.9159375" width="0.4064" layer="16"/>
<wire x1="21.8678125" y1="11.4696875" x2="21.510625" y2="11.1125" width="0.4064" layer="16"/>
<wire x1="20.3596875" y1="11.1521875" x2="20.3596875" y2="6.82625" width="0.4064" layer="16"/>
<wire x1="20.3596875" y1="6.82625" x2="18.3753125" y2="4.841875" width="0.4064" layer="16"/>
<wire x1="18.3753125" y1="4.841875" x2="17.30375" y2="3.7703125" width="0.4064" layer="16"/>
<wire x1="17.30375" y1="3.7703125" x2="7.858125" y2="3.7703125" width="0.4064" layer="16"/>
<wire x1="7.858125" y1="3.7703125" x2="6.7865625" y2="4.841875" width="0.4064" layer="16"/>
<wire x1="28.41625" y1="10.5171875" x2="27.46375" y2="11.4696875" width="0.4064" layer="16"/>
<wire x1="27.46375" y1="11.4696875" x2="21.8678125" y2="11.4696875" width="0.4064" layer="16"/>
<wire x1="20.3596875" y1="11.1521875" x2="21.4709375" y2="11.1521875" width="0.4064" layer="16"/>
<wire x1="21.4709375" y1="11.1521875" x2="21.510625" y2="11.1125" width="0.4064" layer="16"/>
<wire x1="13.6921875" y1="20.081875" x2="14.4065625" y2="20.081875" width="0.4064" layer="1"/>
<wire x1="20.3596875" y1="11.1521875" x2="17.145" y2="11.1521875" width="0.4064" layer="16"/>
<wire x1="17.145" y1="11.1521875" x2="16.35125" y2="11.9459375" width="0.4064" layer="16"/>
<wire x1="16.35125" y1="11.9459375" x2="16.35125" y2="13.096875" width="0.4064" layer="16"/>
<wire x1="16.35125" y1="13.096875" x2="16.8671875" y2="13.6128125" width="0.4064" layer="16"/>
<wire x1="16.8671875" y1="13.6128125" x2="21.59" y2="13.6128125" width="0.4064" layer="16"/>
<wire x1="21.59" y1="13.6128125" x2="24.209375" y2="16.2321875" width="0.4064" layer="16"/>
<wire x1="24.209375" y1="16.2321875" x2="27.2653125" y2="16.2321875" width="0.4064" layer="16"/>
<wire x1="27.2653125" y1="16.2321875" x2="28.178125" y2="17.145" width="0.4064" layer="16"/>
<wire x1="28.178125" y1="17.145" x2="31.5515625" y2="17.145" width="0.4064" layer="16"/>
<wire x1="31.5515625" y1="17.145" x2="31.988125" y2="17.5815625" width="0.4064" layer="16"/>
<wire x1="31.988125" y1="17.5815625" x2="31.988125" y2="19.3675" width="0.4064" layer="16"/>
<wire x1="31.988125" y1="19.3675" x2="31.59125" y2="19.764375" width="0.4064" layer="16"/>
<wire x1="31.59125" y1="19.764375" x2="26.3128125" y2="19.764375" width="0.4064" layer="16"/>
<wire x1="26.3128125" y1="19.764375" x2="25.9953125" y2="20.081875" width="0.4064" layer="16"/>
<polygon width="0.4064" layer="16">
<vertex x="0.3571875" y="32.7025"/>
<vertex x="32.146875" y="32.7025"/>
<vertex x="32.146875" y="0.4365625"/>
<vertex x="0.3175" y="0.4365625"/>
<vertex x="0.3175" y="32.781875"/>
</polygon>
</signal>
<signal name="FIRE1">
<contactref element="X3" pad="6"/>
<contactref element="IC1" pad="9"/>
<wire x1="21.828125" y1="0.6746875" x2="25.9953125" y2="4.841875" width="0.4064" layer="16"/>
<wire x1="1.838325" y1="2.2748875" x2="11.814175" y2="2.2748875" width="0.4064" layer="16"/>
<wire x1="11.814175" y1="2.2748875" x2="13.414375" y2="0.6746875" width="0.4064" layer="16"/>
<wire x1="13.414375" y1="0.6746875" x2="21.828125" y2="0.6746875" width="0.4064" layer="16"/>
</signal>
<signal name="FIRE2">
<contactref element="X3" pad="9"/>
<contactref element="IC1" pad="7"/>
<wire x1="1.838325" y1="10.5044875" x2="3.34645" y2="10.5044875" width="0.4064" layer="16"/>
<wire x1="3.34645" y1="10.5044875" x2="5.23875" y2="8.6121875" width="0.4064" layer="16"/>
<wire x1="5.23875" y1="8.6121875" x2="17.145" y2="8.6121875" width="0.4064" layer="16"/>
<wire x1="17.145" y1="8.6121875" x2="18.3753125" y2="7.381875" width="0.4064" layer="16"/>
</signal>
<signal name="RIGHT">
<contactref element="X3" pad="4"/>
<contactref element="IC2" pad="4"/>
<wire x1="6.7865625" y1="15.001875" x2="6.7865625" y2="14.843125" width="0.4064" layer="1"/>
<wire x1="6.7865625" y1="14.843125" x2="4.7625" y2="12.8190625" width="0.4064" layer="1"/>
<wire x1="4.7625" y1="12.8190625" x2="4.7625" y2="10.4775" width="0.4064" layer="1"/>
<wire x1="4.7625" y1="10.4775" x2="3.3734375" y2="9.0884375" width="0.4064" layer="1"/>
<wire x1="3.3734375" y1="9.0884375" x2="1.882575" y2="9.0884375" width="0.4064" layer="1"/>
<wire x1="1.882575" y1="9.0884375" x2="1.837925" y2="9.1330875" width="0.4064" layer="1"/>
</signal>
<signal name="DOWN">
<contactref element="X3" pad="2"/>
<contactref element="IC2" pad="12"/>
<wire x1="1.837925" y1="3.6462875" x2="8.4087875" y2="3.6462875" width="0.4064" layer="1"/>
<wire x1="8.4087875" y1="3.6462875" x2="9.128125" y2="4.365625" width="0.4064" layer="1"/>
<wire x1="9.128125" y1="4.365625" x2="9.128125" y2="7.1834375" width="0.4064" layer="1"/>
<wire x1="9.128125" y1="7.1834375" x2="14.4065625" y2="12.461875" width="0.4064" layer="1"/>
</signal>
<signal name="UP">
<contactref element="X3" pad="1"/>
<contactref element="IC2" pad="9"/>
<wire x1="1.837925" y1="0.9034875" x2="10.468175" y2="0.9034875" width="0.4064" layer="1"/>
<wire x1="10.468175" y1="0.9034875" x2="14.4065625" y2="4.841875" width="0.4064" layer="1"/>
</signal>
<signal name="LEFT">
<contactref element="X3" pad="3"/>
<contactref element="IC2" pad="7"/>
<wire x1="1.838125" y1="6.3896875" x2="5.794375" y2="6.3896875" width="0.4064" layer="1"/>
<wire x1="5.794375" y1="6.3896875" x2="6.7865625" y2="7.381875" width="0.4064" layer="1"/>
</signal>
<signal name="VCC">
<contactref element="X2" pad="8"/>
<contactref element="X1" pad="8"/>
<contactref element="IC2" pad="16"/>
<contactref element="IC1" pad="16"/>
<contactref element="RN2" pad="1"/>
<contactref element="RN1" pad="1"/>
<wire x1="25.955625" y1="22.6615625" x2="25.9953125" y2="22.621875" width="0.4064" layer="16"/>
<wire x1="4.28625" y1="30.9959375" x2="12.6603125" y2="22.621875" width="0.4064" layer="16"/>
<wire x1="12.6603125" y1="22.621875" x2="14.4065625" y2="22.621875" width="0.4064" layer="16"/>
<wire x1="23.33625" y1="19.9628125" x2="25.9953125" y2="22.621875" width="0.4064" layer="1"/>
<wire x1="19.52625" y1="1.7859375" x2="22.5425" y2="4.8021875" width="0.4064" layer="16"/>
<wire x1="22.5425" y1="4.8021875" x2="22.5425" y2="9.128125" width="0.4064" layer="16"/>
<wire x1="22.5425" y1="9.128125" x2="23.33625" y2="9.921875" width="0.4064" layer="16"/>
<via x="23.33625" y="9.921875" extent="1-16" drill="0.4"/>
<wire x1="23.33625" y1="9.921875" x2="23.33625" y2="19.9628125" width="0.4064" layer="1"/>
<wire x1="15.71625" y1="22.6615625" x2="14.44625" y2="22.6615625" width="0.4064" layer="16"/>
<wire x1="14.44625" y1="22.6615625" x2="14.4065625" y2="22.621875" width="0.4064" layer="16"/>
<wire x1="30.705425" y1="5.1768375" x2="29.232225" y2="5.1768375" width="0.4064" layer="16"/>
<wire x1="29.232225" y1="5.1768375" x2="27.860625" y2="6.5484375" width="0.4064" layer="16"/>
<wire x1="27.860625" y1="6.5484375" x2="27.860625" y2="10.1996875" width="0.4064" layer="16"/>
<wire x1="27.860625" y1="10.1996875" x2="27.14625" y2="10.9140625" width="0.4064" layer="16"/>
<wire x1="27.14625" y1="10.9140625" x2="24.3284375" y2="10.9140625" width="0.4064" layer="16"/>
<wire x1="24.3284375" y1="10.9140625" x2="23.33625" y2="9.921875" width="0.4064" layer="16"/>
<wire x1="30.0434375" y1="31.1546875" x2="30.5196875" y2="31.1546875" width="0.4064" layer="16"/>
<wire x1="30.5196875" y1="31.1546875" x2="31.9484375" y2="29.7259375" width="0.4064" layer="16"/>
<wire x1="31.9484375" y1="29.7259375" x2="31.9484375" y2="27.6621875" width="0.4064" layer="16"/>
<wire x1="31.9484375" y1="27.6621875" x2="31.59125" y2="27.305" width="0.4064" layer="16"/>
<wire x1="31.59125" y1="27.305" x2="27.78125" y2="27.305" width="0.4064" layer="16"/>
<wire x1="30.0434375" y1="31.1546875" x2="30.0434375" y2="31.115" width="0.4064" layer="16"/>
<wire x1="4.28625" y1="30.9959375" x2="2.4209375" y2="30.9959375" width="0.4064" layer="16"/>
<contactref element="LED1" pad="K"/>
<wire x1="16.66875" y1="1.7859375" x2="19.52625" y2="1.7859375" width="0.4064" layer="16"/>
<wire x1="17.325975" y1="31.3007375" x2="17.325975" y2="30.0212125" width="0.4064" layer="16"/>
<wire x1="17.325975" y1="30.0212125" x2="21.9075" y2="25.4396875" width="0.4064" layer="16"/>
<wire x1="21.9075" y1="25.4396875" x2="24.685625" y2="22.6615625" width="0.4064" layer="16"/>
<wire x1="25.955625" y1="22.6615625" x2="24.685625" y2="22.6615625" width="0.4064" layer="16"/>
<wire x1="25.955625" y1="22.6615625" x2="25.955625" y2="25.479375" width="0.4064" layer="16"/>
<wire x1="25.955625" y1="25.479375" x2="27.78125" y2="27.305" width="0.4064" layer="16"/>
<wire x1="15.71625" y1="22.6615625" x2="16.8275" y2="23.7728125" width="0.4064" layer="16"/>
<wire x1="16.8275" y1="23.7728125" x2="20.240625" y2="23.7728125" width="0.4064" layer="16"/>
<wire x1="20.240625" y1="23.7728125" x2="21.9075" y2="25.4396875" width="0.4064" layer="16"/>
</signal>
<signal name="SELECT">
<contactref element="X3" pad="5"/>
<contactref element="IC1" pad="1"/>
<contactref element="IC2" pad="1"/>
<wire x1="18.3753125" y1="22.621875" x2="17.065625" y2="22.621875" width="0.4064" layer="16"/>
<wire x1="17.065625" y1="22.621875" x2="15.795625" y2="21.351875" width="0.4064" layer="16"/>
<wire x1="15.795625" y1="21.351875" x2="9.0884375" y2="21.351875" width="0.4064" layer="16"/>
<wire x1="9.0884375" y1="21.351875" x2="7.8184375" y2="22.621875" width="0.4064" layer="16"/>
<wire x1="7.8184375" y1="22.621875" x2="6.7865625" y2="22.621875" width="0.4064" layer="16"/>
<wire x1="6.7865625" y1="22.621875" x2="6.0325" y2="22.621875" width="0.4064" layer="1"/>
<wire x1="6.0325" y1="22.621875" x2="5.476875" y2="22.06625" width="0.4064" layer="1"/>
<wire x1="5.476875" y1="22.06625" x2="1.0715625" y2="22.06625" width="0.4064" layer="1"/>
<wire x1="1.0715625" y1="22.06625" x2="0.555625" y2="21.5503125" width="0.4064" layer="1"/>
<wire x1="0.555625" y1="21.5503125" x2="0.555625" y2="13.1581875" width="0.4064" layer="1"/>
<wire x1="0.555625" y1="13.1581875" x2="1.837925" y2="11.8758875" width="0.4064" layer="1"/>
</signal>
<signal name="1PLEFT">
<contactref element="IC2" pad="5"/>
<wire x1="29.3290625" y1="6.5484375" x2="29.130625" y2="6.111875" width="0.4064" layer="1"/>
<wire x1="29.130625" y1="6.111875" x2="22.939375" y2="6.111875" width="0.4064" layer="1"/>
<wire x1="22.939375" y1="6.111875" x2="21.351875" y2="7.699375" width="0.4064" layer="1"/>
<wire x1="21.351875" y1="7.699375" x2="21.351875" y2="24.685625" width="0.4064" layer="1"/>
<wire x1="16.3909375" y1="23.33625" x2="16.3909375" y2="19.288125" width="0.4064" layer="1"/>
<via x="11.27125" y="16.748125" extent="1-16" drill="0.4"/>
<wire x1="7.3025" y1="12.461875" x2="6.7865625" y2="12.461875" width="0.4064" layer="16"/>
<wire x1="7.3025" y1="12.461875" x2="7.3025" y2="12.8190625" width="0.4064" layer="16"/>
<wire x1="7.3025" y1="12.8190625" x2="11.27125" y2="16.748125" width="0.4064" layer="16"/>
<wire x1="16.3909375" y1="19.288125" x2="15.9146875" y2="18.811875" width="0.4064" layer="1"/>
<wire x1="15.9146875" y1="18.811875" x2="13.2953125" y2="18.811875" width="0.4064" layer="1"/>
<wire x1="13.2953125" y1="18.811875" x2="11.27125" y2="16.748125" width="0.4064" layer="1"/>
<contactref element="RN1" pad="4"/>
<contactref element="X1" pad="3"/>
<wire x1="29.3290625" y1="6.5484375" x2="30.705625" y2="6.5484375" width="0.4064" layer="1"/>
<wire x1="19.54609375" y1="26.49140625" x2="21.3915625" y2="28.336875" width="0.4064" layer="1"/>
<wire x1="21.3915625" y1="28.336875" x2="24.526875" y2="28.336875" width="0.4064" layer="1"/>
<wire x1="24.526875" y1="28.336875" x2="29.4084375" y2="23.4553125" width="0.4064" layer="1"/>
<wire x1="29.4084375" y1="23.4553125" x2="30.00375" y2="23.4553125" width="0.4064" layer="1"/>
<wire x1="30.00375" y1="23.4553125" x2="30.0434375" y2="23.495" width="0.4064" layer="1"/>
<wire x1="21.351875" y1="24.685625" x2="19.54609375" y2="26.49140625" width="0.4064" layer="1"/>
<wire x1="16.3909375" y1="23.33625" x2="19.54609375" y2="26.49140625" width="0.4064" layer="1"/>
</signal>
<signal name="2PLEFT">
<contactref element="IC2" pad="6"/>
<wire x1="15.954375" y1="30.3609375" x2="13.81125" y2="28.2178125" width="0.4064" layer="1"/>
<wire x1="13.81125" y1="28.2178125" x2="12.1840625" y2="28.2178125" width="0.4064" layer="1"/>
<wire x1="12.1840625" y1="28.2178125" x2="9.4853125" y2="25.5190625" width="0.4064" layer="1"/>
<contactref element="X2" pad="3"/>
<wire x1="15.954375" y1="30.3609375" x2="15.954375" y2="31.3009375" width="0.4064" layer="1"/>
<wire x1="4.8815625" y1="16.906875" x2="5.21890625" y2="16.56953125" width="0.4064" layer="1"/>
<wire x1="5.21890625" y1="16.56953125" x2="5.5165625" y2="16.271875" width="0.4064" layer="1"/>
<wire x1="9.4853125" y1="25.5190625" x2="9.4853125" y2="18.6928125" width="0.4064" layer="1"/>
<wire x1="9.4853125" y1="18.6928125" x2="8.6915625" y2="17.8990625" width="0.4064" layer="1"/>
<wire x1="8.6915625" y1="17.8990625" x2="8.6915625" y2="16.2321875" width="0.4064" layer="1"/>
<wire x1="8.6915625" y1="16.2321875" x2="8.6915625" y2="11.826875" width="0.4064" layer="1"/>
<wire x1="8.6915625" y1="11.826875" x2="6.7865625" y2="9.921875" width="0.4064" layer="1"/>
<wire x1="5.5165625" y1="16.271875" x2="8.651875" y2="16.271875" width="0.4064" layer="1"/>
<wire x1="8.651875" y1="16.271875" x2="8.6915625" y2="16.2321875" width="0.4064" layer="1"/>
<contactref element="RN2" pad="6"/>
<wire x1="2.4209375" y1="18.2959375" x2="3.4925" y2="18.2959375" width="0.4064" layer="1"/>
<wire x1="3.4925" y1="18.2959375" x2="4.8815625" y2="16.906875" width="0.4064" layer="1"/>
</signal>
<signal name="1PRIGHT">
<contactref element="IC2" pad="2"/>
<contactref element="X1" pad="2"/>
<wire x1="30.705825" y1="9.2918375" x2="29.3637875" y2="9.2918375" width="0.4064" layer="1"/>
<wire x1="29.3637875" y1="9.2918375" x2="28.336875" y2="10.2790625" width="0.4064" layer="1"/>
<wire x1="28.336875" y1="10.2790625" x2="28.336875" y2="16.7084375" width="0.4064" layer="1"/>
<wire x1="6.7865625" y1="20.081875" x2="11.826875" y2="20.081875" width="0.4064" layer="16"/>
<wire x1="11.826875" y1="20.081875" x2="13.096875" y2="18.811875" width="0.4064" layer="16"/>
<wire x1="13.096875" y1="18.811875" x2="29.6465625" y2="18.811875" width="0.4064" layer="16"/>
<contactref element="RN1" pad="6"/>
<wire x1="28.336875" y1="16.7084375" x2="30.0434375" y2="18.415" width="0.4064" layer="1"/>
<wire x1="29.6465625" y1="18.811875" x2="30.0434375" y2="18.415" width="0.4064" layer="16"/>
</signal>
<signal name="1PDOWN">
<contactref element="IC2" pad="14"/>
<wire x1="29.562225" y1="3.8050375" x2="29.130625" y2="3.3734375" width="0.4064" layer="1"/>
<wire x1="14.4065625" y1="17.541875" x2="15.7559375" y2="17.541875" width="0.4064" layer="1"/>
<wire x1="15.7559375" y1="17.541875" x2="16.66875" y2="16.6290625" width="0.4064" layer="1"/>
<wire x1="16.66875" y1="16.6290625" x2="16.66875" y2="4.1275" width="0.4064" layer="1"/>
<wire x1="16.66875" y1="4.1275" x2="17.4228125" y2="3.3734375" width="0.4064" layer="1"/>
<wire x1="17.4228125" y1="3.3734375" x2="29.130625" y2="3.3734375" width="0.4064" layer="1"/>
<contactref element="X1" pad="4"/>
<wire x1="29.562225" y1="3.8050375" x2="30.705825" y2="3.8050375" width="0.4064" layer="1"/>
<contactref element="RN2" pad="3"/>
<wire x1="14.4065625" y1="17.541875" x2="12.9778125" y2="17.541875" width="0.4064" layer="16"/>
<wire x1="12.9778125" y1="17.541875" x2="11.6284375" y2="18.89125" width="0.4064" layer="16"/>
<wire x1="11.6284375" y1="18.89125" x2="5.5959375" y2="18.89125" width="0.4064" layer="16"/>
<wire x1="5.5959375" y1="18.89125" x2="5.000625" y2="19.4865625" width="0.4064" layer="16"/>
<wire x1="5.000625" y1="19.4865625" x2="5.000625" y2="23.33625" width="0.4064" layer="16"/>
<wire x1="5.000625" y1="23.33625" x2="2.4209375" y2="25.9159375" width="0.4064" layer="16"/>
</signal>
<signal name="2PRIGHT">
<contactref element="IC2" pad="3"/>
<wire x1="13.210975" y1="30.3162875" x2="8.6915625" y2="25.796875" width="0.4064" layer="1"/>
<wire x1="8.6915625" y1="25.796875" x2="8.6915625" y2="23.9315625" width="0.4064" layer="1"/>
<wire x1="8.6915625" y1="23.9315625" x2="8.6915625" y2="19.446875" width="0.4064" layer="1"/>
<wire x1="8.6915625" y1="19.446875" x2="6.7865625" y2="17.541875" width="0.4064" layer="1"/>
<contactref element="X2" pad="2"/>
<wire x1="13.210975" y1="30.3162875" x2="13.210975" y2="31.3011375" width="0.4064" layer="1"/>
<contactref element="RN2" pad="4"/>
<wire x1="8.6121875" y1="23.8521875" x2="8.6915625" y2="23.9315625" width="0.4064" layer="1"/>
<wire x1="8.6121875" y1="23.8521875" x2="2.8971875" y2="23.8521875" width="0.4064" layer="1"/>
<wire x1="2.8971875" y1="23.8521875" x2="2.4209375" y2="23.3759375" width="0.4064" layer="1"/>
</signal>
<signal name="2PUP">
<contactref element="IC2" pad="10"/>
<wire x1="21.440575" y1="29.7352625" x2="18.5340625" y2="26.82875" width="0.4064" layer="1"/>
<wire x1="18.5340625" y1="26.82875" x2="13.17625" y2="26.82875" width="0.4064" layer="1"/>
<wire x1="13.17625" y1="26.82875" x2="11.0728125" y2="24.7253125" width="0.4064" layer="1"/>
<wire x1="16.0734375" y1="15.954375" x2="16.0734375" y2="9.04875" width="0.4064" layer="1"/>
<wire x1="16.0734375" y1="9.04875" x2="14.4065625" y2="7.381875" width="0.4064" layer="1"/>
<contactref element="X2" pad="5"/>
<wire x1="21.440575" y1="29.7352625" x2="21.440575" y2="31.3011375" width="0.4064" layer="1"/>
<contactref element="RN1" pad="3"/>
<wire x1="21.440575" y1="29.7352625" x2="25.8272375" y2="29.7352625" width="0.4064" layer="1"/>
<wire x1="25.8272375" y1="29.7352625" x2="29.5275" y2="26.035" width="0.4064" layer="1"/>
<wire x1="29.5275" y1="26.035" x2="30.0434375" y2="26.035" width="0.4064" layer="1"/>
<wire x1="11.0728125" y1="24.7253125" x2="11.0728125" y2="17.93875" width="0.4064" layer="1"/>
<wire x1="13.2159375" y1="16.271875" x2="15.7559375" y2="16.271875" width="0.4064" layer="1"/>
<wire x1="15.7559375" y1="16.271875" x2="16.0734375" y2="15.954375" width="0.4064" layer="1"/>
<wire x1="11.0728125" y1="17.93875" x2="10.3584375" y2="17.224375" width="0.4064" layer="1"/>
<wire x1="10.3584375" y1="17.224375" x2="10.3584375" y2="16.3115625" width="0.4064" layer="1"/>
<wire x1="10.3584375" y1="16.3115625" x2="10.795" y2="15.875" width="0.4064" layer="1"/>
<wire x1="10.795" y1="15.875" x2="12.8190625" y2="15.875" width="0.4064" layer="1"/>
<wire x1="12.8190625" y1="15.875" x2="13.2159375" y2="16.271875" width="0.4064" layer="1"/>
</signal>
<signal name="1PUP">
<contactref element="IC2" pad="11"/>
<wire x1="17.948075" y1="2.0147375" x2="17.7403125" y2="2.2225" width="0.4064" layer="1"/>
<wire x1="17.7403125" y1="2.2225" x2="16.113125" y2="3.8496875" width="0.4064" layer="1"/>
<wire x1="16.113125" y1="3.8496875" x2="16.113125" y2="5.5959375" width="0.4064" layer="1"/>
<wire x1="16.113125" y1="5.5959375" x2="15.5971875" y2="6.111875" width="0.4064" layer="1"/>
<wire x1="15.5971875" y1="6.111875" x2="13.1365625" y2="6.111875" width="0.4064" layer="1"/>
<wire x1="13.1365625" y1="6.111875" x2="12.303125" y2="6.9453125" width="0.4064" layer="1"/>
<wire x1="12.303125" y1="6.9453125" x2="12.303125" y2="7.8184375" width="0.4064" layer="1"/>
<wire x1="12.303125" y1="7.8184375" x2="14.4065625" y2="9.921875" width="0.4064" layer="1"/>
<contactref element="X1" pad="5"/>
<contactref element="RN2" pad="7"/>
<wire x1="2.4209375" y1="15.7559375" x2="2.4209375" y2="15.71625" width="0.4064" layer="16"/>
<wire x1="2.4209375" y1="15.71625" x2="4.6434375" y2="13.49375" width="0.4064" layer="16"/>
<wire x1="4.6434375" y1="13.49375" x2="4.6434375" y2="12.1046875" width="0.4064" layer="16"/>
<wire x1="4.6434375" y1="12.1046875" x2="5.55625" y2="11.191875" width="0.4064" layer="16"/>
<wire x1="5.55625" y1="11.191875" x2="13.1365625" y2="11.191875" width="0.4064" layer="16"/>
<wire x1="13.1365625" y1="11.191875" x2="14.4065625" y2="9.921875" width="0.4064" layer="16"/>
<wire x1="30.705825" y1="1.0622375" x2="18.900575" y2="1.0622375" width="0.4064" layer="1"/>
<wire x1="18.900575" y1="1.0622375" x2="17.948075" y2="2.0147375" width="0.4064" layer="1"/>
</signal>
<signal name="1PFIRE1">
<contactref element="X1" pad="9"/>
<contactref element="IC1" pad="11"/>
<wire x1="25.9953125" y1="9.921875" x2="24.844375" y2="9.921875" width="0.4064" layer="16"/>
<wire x1="24.844375" y1="9.921875" x2="23.733125" y2="8.810625" width="0.4064" layer="16"/>
<wire x1="23.733125" y1="8.810625" x2="23.733125" y2="6.905625" width="0.4064" layer="16"/>
<wire x1="23.733125" y1="6.905625" x2="24.526875" y2="6.111875" width="0.4064" layer="16"/>
<wire x1="24.526875" y1="6.111875" x2="27.2653125" y2="6.111875" width="0.4064" layer="16"/>
<wire x1="27.2653125" y1="6.111875" x2="27.9003125" y2="5.476875" width="0.4064" layer="16"/>
<wire x1="27.9003125" y1="5.476875" x2="27.9003125" y2="3.095625" width="0.4064" layer="16"/>
<wire x1="27.9003125" y1="3.095625" x2="28.6146875" y2="2.38125" width="0.4064" layer="16"/>
<wire x1="28.6146875" y1="2.38125" x2="30.6530375" y2="2.38125" width="0.4064" layer="16"/>
<wire x1="30.6530375" y1="2.38125" x2="30.705425" y2="2.4336375" width="0.4064" layer="16"/>
<contactref element="RN1" pad="5"/>
<wire x1="25.9953125" y1="9.921875" x2="26.67" y2="9.921875" width="0.4064" layer="1"/>
<wire x1="26.67" y1="9.921875" x2="27.7415625" y2="10.9934375" width="0.4064" layer="1"/>
<wire x1="27.7415625" y1="10.9934375" x2="27.7415625" y2="18.653125" width="0.4064" layer="1"/>
<wire x1="27.7415625" y1="18.653125" x2="30.0434375" y2="20.955" width="0.4064" layer="1"/>
</signal>
<signal name="1PFIRE2">
<contactref element="X1" pad="6"/>
<contactref element="IC1" pad="5"/>
<wire x1="18.3753125" y1="12.461875" x2="23.1378125" y2="12.461875" width="0.4064" layer="16"/>
<wire x1="23.1378125" y1="12.461875" x2="24.3284375" y2="13.6525" width="0.4064" layer="16"/>
<wire x1="24.3284375" y1="13.6525" x2="28.019375" y2="13.6525" width="0.4064" layer="16"/>
<wire x1="28.09875" y1="13.573125" x2="28.09875" y2="11.826875" width="0.4064" layer="16"/>
<wire x1="28.09875" y1="11.826875" x2="29.1703125" y2="10.7553125" width="0.4064" layer="16"/>
<wire x1="29.1703125" y1="10.7553125" x2="30.61335" y2="10.7553125" width="0.4064" layer="16"/>
<wire x1="30.61335" y1="10.7553125" x2="30.705425" y2="10.6632375" width="0.4064" layer="16"/>
<wire x1="28.09875" y1="13.573125" x2="28.019375" y2="13.6525" width="0.4064" layer="16"/>
<contactref element="RN1" pad="7"/>
<wire x1="28.019375" y1="13.6525" x2="28.019375" y2="13.8509375" width="0.4064" layer="16"/>
<wire x1="28.019375" y1="13.8509375" x2="30.0434375" y2="15.875" width="0.4064" layer="16"/>
</signal>
<signal name="2PFIRE1">
<contactref element="X2" pad="9"/>
<contactref element="IC1" pad="10"/>
<contactref element="RN1" pad="2"/>
<wire x1="20.069175" y1="31.3007375" x2="20.069175" y2="28.825825" width="0.4064" layer="16"/>
<via x="22.1059375" y="27.14625" extent="1-16" drill="0.4"/>
<wire x1="22.1059375" y1="27.14625" x2="22.1059375" y2="8.810625" width="0.4064" layer="1"/>
<wire x1="22.1059375" y1="8.810625" x2="23.574375" y2="7.3421875" width="0.4064" layer="1"/>
<wire x1="23.574375" y1="7.3421875" x2="25.955625" y2="7.3421875" width="0.4064" layer="1"/>
<wire x1="25.955625" y1="7.3421875" x2="25.9953125" y2="7.381875" width="0.4064" layer="1"/>
<wire x1="30.0434375" y1="28.575" x2="22.225" y2="28.575" width="0.4064" layer="16"/>
<wire x1="22.225" y1="28.575" x2="20.32" y2="28.575" width="0.4064" layer="16"/>
<wire x1="20.32" y1="28.575" x2="20.069175" y2="28.825825" width="0.4064" layer="16"/>
<wire x1="22.1059375" y1="27.14625" x2="22.1059375" y2="28.4559375" width="0.4064" layer="16"/>
<wire x1="22.1059375" y1="28.4559375" x2="22.225" y2="28.575" width="0.4064" layer="16"/>
</signal>
<signal name="2PFIRE2">
<contactref element="X2" pad="6"/>
<contactref element="IC1" pad="6"/>
<wire x1="11.839575" y1="31.3007375" x2="11.839575" y2="25.86355" width="0.4064" layer="16"/>
<via x="19.9628125" y="24.685625" extent="1-16" drill="0.4"/>
<wire x1="20.5184375" y1="24.13" x2="20.5184375" y2="11.3903125" width="0.4064" layer="1"/>
<wire x1="20.5184375" y1="11.3903125" x2="19.05" y2="9.921875" width="0.4064" layer="1"/>
<wire x1="19.05" y1="9.921875" x2="18.3753125" y2="9.921875" width="0.4064" layer="1"/>
<wire x1="12.938125" y1="24.765" x2="11.839575" y2="25.86355" width="0.4064" layer="16"/>
<contactref element="RN2" pad="2"/>
<wire x1="2.4209375" y1="28.4559375" x2="1.8653125" y2="28.4559375" width="0.4064" layer="16"/>
<wire x1="1.8653125" y1="28.4559375" x2="0.5159375" y2="29.8053125" width="0.4064" layer="16"/>
<wire x1="0.5159375" y1="29.8053125" x2="0.5159375" y2="31.988125" width="0.4064" layer="16"/>
<wire x1="0.5159375" y1="31.988125" x2="0.9921875" y2="32.464375" width="0.4064" layer="16"/>
<wire x1="0.9921875" y1="32.464375" x2="5.23875" y2="32.464375" width="0.4064" layer="16"/>
<wire x1="5.23875" y1="32.464375" x2="11.839575" y2="25.86355" width="0.4064" layer="16"/>
<wire x1="12.938125" y1="24.765" x2="19.8834375" y2="24.765" width="0.4064" layer="16"/>
<wire x1="19.8834375" y1="24.765" x2="19.9628125" y2="24.685625" width="0.4064" layer="16"/>
<wire x1="20.5184375" y1="24.13" x2="19.9628125" y2="24.685625" width="0.4064" layer="1"/>
</signal>
<signal name="2PDOWN">
<contactref element="IC2" pad="13"/>
<wire x1="18.697775" y1="29.0562125" x2="17.1846875" y2="27.543125" width="0.4064" layer="1"/>
<wire x1="17.1846875" y1="27.543125" x2="12.779375" y2="27.543125" width="0.4064" layer="1"/>
<wire x1="12.779375" y1="27.543125" x2="10.2790625" y2="25.0428125" width="0.4064" layer="1"/>
<contactref element="X2" pad="4"/>
<wire x1="18.697775" y1="29.0562125" x2="18.697775" y2="31.3011375" width="0.4064" layer="1"/>
<wire x1="10.2790625" y1="25.0428125" x2="10.2790625" y2="18.2959375" width="0.4064" layer="1"/>
<contactref element="RN2" pad="5"/>
<wire x1="2.4209375" y1="20.8359375" x2="2.9765625" y2="20.8359375" width="0.4064" layer="16"/>
<wire x1="2.9765625" y1="20.8359375" x2="4.28625" y2="19.52625" width="0.4064" layer="16"/>
<wire x1="4.28625" y1="19.52625" x2="4.28625" y2="17.3434375" width="0.4064" layer="16"/>
<wire x1="4.28625" y1="17.3434375" x2="5.3578125" y2="16.271875" width="0.4064" layer="16"/>
<wire x1="5.3578125" y1="16.271875" x2="7.9375" y2="16.271875" width="0.4064" layer="16"/>
<wire x1="7.9375" y1="16.271875" x2="9.604375" y2="17.93875" width="0.4064" layer="16"/>
<wire x1="14.4065625" y1="15.001875" x2="14.2875" y2="15.001875" width="0.4064" layer="16"/>
<wire x1="14.2875" y1="15.001875" x2="11.350625" y2="17.93875" width="0.4064" layer="16"/>
<wire x1="11.350625" y1="17.93875" x2="9.604375" y2="17.93875" width="0.4064" layer="16"/>
<wire x1="14.4065625" y1="15.001875" x2="10.398125" y2="15.001875" width="0.4064" layer="1"/>
<wire x1="10.398125" y1="15.001875" x2="9.604375" y2="15.795625" width="0.4064" layer="1"/>
<wire x1="10.2790625" y1="18.2959375" x2="9.604375" y2="17.62125" width="0.4064" layer="1"/>
<wire x1="9.604375" y1="17.62125" x2="9.604375" y2="15.795625" width="0.4064" layer="1"/>
</signal>
<signal name="N$1">
<contactref element="X3" pad="7"/>
<contactref element="LED1" pad="A"/>
<wire x1="1.838325" y1="5.0180875" x2="3.6337875" y2="5.0180875" width="0.4064" layer="16"/>
<wire x1="3.6337875" y1="5.0180875" x2="5.5959375" y2="3.0559375" width="0.4064" layer="16"/>
<wire x1="5.5959375" y1="3.0559375" x2="12.85875" y2="3.0559375" width="0.4064" layer="16"/>
<wire x1="12.85875" y1="3.0559375" x2="14.12875" y2="1.7859375" width="0.4064" layer="16"/>
</signal>
</signals>
</board>
</drawing>
</eagle>

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff